在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3250|回复: 3

[求助] 在Verilog中如何使用ROM中的数据进行计算

[复制链接]
发表于 2018-5-4 16:24:58 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我做的是一个滤波电路的设计,大致思路是一个存有待滤波图片数据的ROM,一个滤波模块和一个用来存经过滤波算法处理后的数据的RAM。现在的问题是我将ROM的输出q例化到我的滤波模块,与模块中的输入di相连后出现在错误,不知道怎么解决,求大佬指条明路。
发表于 2018-5-5 15:18:27 | 显示全部楼层
能否具体描述一下?有必要的时候把必要的代码也粘贴下
发表于 2018-5-18 22:59:59 | 显示全部楼层
設計上應該要有 line buffer, 以便擷取一個 nxn 的 image block, 再傳入 2-D filter.
发表于 2018-11-27 17:52:45 | 显示全部楼层
学习中。。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 13:31 , Processed in 0.020357 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表