在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1808|回复: 6

[求助] 大家帮我看一下,为什么乘法器的输出是不定值

[复制链接]
发表于 2018-3-12 09:53:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x




  1. `timescale 1ns/1ps
  2. `include "/multiplication.v"
  3. module test;
  4.   reg clk;
  5.   reg [3:0] A,B;
  6.   wire [7:0] C =0;
  7.   multiplication4_4 m1(A,B,C,clk);
  8. always
  9.   #10 clk = ~clk;
  10. initial
  11.   begin
  12.   clk = 1'b0;
  13.   A = 4'b1111;
  14.   B = 4'b0000;
  15.   #200 B = 4'b0001;
  16.   #200 B = 4'b0010;
  17.   #200 B = 4'b0100;
  18.   #200 B = 4'b1000;
  19.   end
  20.   initial begin
  21.   $fsdbDumpfile("tb.fsdb");
  22.   $fsdbDumpvars();
  23.   end
  24.   initial #1000 $finish;
  25. endmodule



复制代码
发表于 2018-3-13 14:26:04 | 显示全部楼层
06行的wire是接的乘法器的输出吗?为什么要=0?
 楼主| 发表于 2018-3-13 19:47:45 | 显示全部楼层
回复 2# gaurson
这个只是给输出一个初始值,也可以不给。可以忽略这个
 楼主| 发表于 2018-3-13 20:06:22 | 显示全部楼层
回复 2# gaurson

问题已经解决了,谢谢你的帮助
发表于 2018-3-14 14:20:21 | 显示全部楼层
回复 4# XAUT-海洋


    怎么解决的?
发表于 2018-3-17 08:48:51 | 显示全部楼层
怎么解决的不说一下?
 楼主| 发表于 2018-3-17 11:01:27 | 显示全部楼层
回复 5# gaurson

我在乘法器中的语法错了,赋值时没用assign
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 04:57 , Processed in 0.023265 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表