在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1899|回复: 2

[求助] 标准单元库如何仿真时序

[复制链接]
发表于 2018-2-23 21:23:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
想用标准单元库仿真某个模块的延时,.V文件给出的模块没有延时,如`timescale 1ns/10ps
`celldefine
module AND2CLKHD2X (Z, A, B);
input  A ;
input  B ;
output Z ;

   and (Z, A, B);

   specify
     // path delays
     (A *> Z) = (0, 0);
     (B *> Z) = (0, 0);

   endspecify

endmodule
`endcelldefine只能用功能仿真

1、可不可以给延时赋值?就是用lib中的延时,可是lib中的延时6*6,有很多的;
2、还有就是关键lib这个库到底怎么用,它无法编译,能用来仿真延时吗?;
3、只用midelsim行吗?还是一定要综合,布局布线?
发表于 2018-5-5 15:36:20 | 显示全部楼层
需要PR配合,提取place & route 之后的寄生参数,反标,在tb中吃进去反标文件(.sdf),这样就有实际延时了
发表于 2018-5-5 22:43:29 | 显示全部楼层
回复 1# xiaozh


    额,这个嘛
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 13:31 , Processed in 0.016944 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表