|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
想用标准单元库仿真某个模块的延时,.V文件给出的模块没有延时,如`timescale 1ns/10ps
`celldefine
module AND2CLKHD2X (Z, A, B);
input A ;
input B ;
output Z ;
and (Z, A, B);
specify
// path delays
(A *> Z) = (0, 0);
(B *> Z) = (0, 0);
endspecify
endmodule
`endcelldefine只能用功能仿真
1、可不可以给延时赋值?就是用lib中的延时,可是lib中的延时6*6,有很多的;
2、还有就是关键lib这个库到底怎么用,它无法编译,能用来仿真延时吗?;
3、只用midelsim行吗?还是一定要综合,布局布线? |
|