在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6209|回复: 7

[解决] uvm跟vcs仿真中+UVM_VERBOSITY=$(UVM_VERBOSITY)是起什么作用

[复制链接]
发表于 2018-1-20 17:38:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 851018986 于 2018-1-30 10:22 编辑

在Makefile中
UVM_VERBOSITY = UVM_LOW
SIMV =  ./simv +UVM_VERBOSITY=$(UVM_VERBOSITY) -l vcs.log这个是什么作用+UVM_VERBOSITY=$(UVM_VERBOSITY),我直接加就报错,就是不用./simv直接用-r 然后再参数后面加上+UVM_VERBOSITY=$(UVM_VERBOSITY)就会提示如下错误:Illegal variable name.
如下所示:
vcs    -R $STD_FLAG \

+acc +vpi \


+UVM_VERBOSITY=$(UVM_VERBOSITY) -l vcs.log
发表于 2018-1-21 15:51:00 | 显示全部楼层
$UVM_VERBOSITY需要你传递一个确定的告警等级的信息,比如UVM_ERROR、UVM_INFO等。
 楼主| 发表于 2018-1-22 09:08:33 | 显示全部楼层
回复 2# gaurson
恩谢谢,我刚也看了下源代码的解释+UVM_VERBOSITY +UVM_VERBOSITY=<verbosity> allows the user to specify the initial
verbosity for all components.
发表于 2018-2-12 15:54:40 | 显示全部楼层
shezhixiaoxidengji
发表于 2018-3-24 22:02:52 | 显示全部楼层
控制UVM打印等级
发表于 2018-3-25 22:35:09 | 显示全部楼层
回复 2# gaurson

同意
发表于 2018-4-1 13:36:07 | 显示全部楼层
严重同意!
发表于 2020-5-15 23:18:13 | 显示全部楼层
thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 23:06 , Processed in 0.024485 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表