在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2093|回复: 1

[原创] UVM1.2有哪些改进?

[复制链接]
发表于 2018-1-18 14:12:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
对于看源码这种事真的是头疼,有哪些大神对UVM1.2有比较深的理解,分享一下,在UVM1.1d上做了哪些改进呢
发表于 2018-1-18 17:57:28 | 显示全部楼层
a.        automatic phase raising/dropping by seq.set_automatic_phase_objection(1).
b.        starting_phase in uvm_sequence_base is protected now, use set_starting_phase and get_starting_phase to set and get the value respectively.
c.        set_config_int/set_config_object/set_config_string are deprecated
d.        volatile fields cause a write to be issued after calling update even if the m_desired equals to m_mirrored
e.        The field name of uvm_config_db can contain wildcards and they are not interpreted as glob/regex.
f.        +uvm_set_default_sequence to set the default sequence
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 02:23 , Processed in 0.016609 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表