在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 11274|回复: 8

[求助] UVM中如何进行功能覆盖率收集?

[复制链接]
发表于 2018-1-15 16:40:39 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 配信中 于 2018-1-15 19:16 编辑

UVM中如何进行功能覆盖率收集,covergroup具体写在哪里?求具体,谢谢回复!!
发表于 2018-1-15 21:34:00 | 显示全部楼层
我用过别人写的covergroup,直接放在和dut同层的目录下,文件命名为****.psl,ncvlog 中直接加cov_f 和psl路径
 楼主| 发表于 2018-1-16 10:23:36 | 显示全部楼层
回复 2# yanglewen
谢谢回复 ,问题已解决,我把covergroup放在monitor里面。
发表于 2018-1-20 21:41:47 | 显示全部楼层
一般要求cov写成一个断读的文件,你所说的在哪儿写,应该是在哪儿去采样吧。
单独定义一个cov的class,每一个cover group对应由自己的采样函数,采样一般实在mon或者rm中。
其实放在什么地方采样都是可以的,放在这两个里面主要是考虑到验证环境的重用性。
发表于 2018-1-31 18:49:52 | 显示全部楼层
请问一下,makefile里面的功能覆盖组的报告指令是怎么写的呀,目前想连续收集不同testcase跑出来的coverage
 楼主| 发表于 2018-4-26 10:36:55 | 显示全部楼层
回复 4# cainiao666

谢谢您
 楼主| 发表于 2018-4-26 10:38:13 | 显示全部楼层
回复 5# 自由电子0209


   cm +line+.....等等覆盖率,这个在网上有很多,不是很复杂
发表于 2018-6-4 09:33:57 | 显示全部楼层
很实际的问题,很多教程里都没涉及到覆盖率
发表于 2021-2-3 11:13:31 | 显示全部楼层
谢谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 05:13 , Processed in 0.025869 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表