在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3762|回复: 4

ncverilog gcc問題(incisive131 version)

[复制链接]
发表于 2018-1-15 09:01:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位高手有沒有知道以下錯誤要如何解決?
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 2: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: Syntax error: Unterminated quoted string
ncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 1: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: ELF: not found
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 2: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: Syntax error: Unterminated quoted string
/home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: 1: /home/user/cadence/INCISIV131/tools.lnx86/cdsgcc/gcc/4.4/install/bin/gcc: ELF: not foundncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
/home/user/cadence/INCISIV131/tools/perl/bin/cdsperl: 1: /home/user/cadence/INCISIV131/tools/perl/bin/cdsPerl: Syntax error: ")" unexpected
irun: *E,CCERR: Error during cc compilation (status 2), exiting.


20180114_0900.png

我看了安裝的incisive131的目錄下有gcc 4.4版本,但無法看出錯誤發生在哪裡???還是少安裝了什麼???
 楼主| 发表于 2018-1-15 11:13:50 | 显示全部楼层
回复 1# tezhi

我把incisiv安裝目錄下的gcc 4.4 delete, 剩下gcc 4.1會變成以下錯誤(少了一個),但還是錯?
ncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
/home/user/cadence/INCISIV131/tools/perl/bin/cdsPerl: 1: /home/user/cadence/INCISIV131/tools/perl/bin/cdsPerl: Syntax error: ")" unexpected
irun: *E,CCERR: Error during cc compilation (status 2), exiting.

20180115_1117.png
发表于 2018-1-15 19:11:13 | 显示全部楼层
compile your project step by step , then you will find the problem.
 楼主| 发表于 2018-1-16 08:45:20 | 显示全部楼层
回复 3# phoenixson


thanks your answer,I try step by step, 1. ncvlog, 2. ncelab,  3. ncsim
 楼主| 发表于 2018-1-16 15:56:54 | 显示全部楼层
回复 3# phoenixson

以下是sv file and c file
///////helo.c//////////////////
#include <stdio.h>
#include "svdpi.h"

void reportc()
{
printf("Hello World from C! ");
printf("tezhi test! ");
}
///////////////////////////////

///////helo.sv//////////////////
program main();

import "DPI-C" reportc=task reportc();
export "DPI-C" task DPI_waitNs;

initial
begin
  reportc();
end

task DPI_waitNs (input int unsigned ns);
    #(ns);
endtask

endprogram
///////////////////////////////
step1. 我在ncvlog下run了helo.sv,命令如下:
ncvlog -sv hello.sv
編譯此sv file沒有問題。
step2. 我再elaborate the design,命令如下:
ncelab main
執行此步驟卻發生了錯誤
ncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
這是export "DPI-C" task DPI_waitNs這行發生了問題,沒辦法export DPI-C,
svdpi.h檔案有在資料夾之中,是缺少了DPI相關檔案嗎?
要如何才能解決這個錯誤???
20180116_1554.png
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 09:42 , Processed in 0.027366 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表