在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: tezhi

ncsim: *E,IMPDLL: Unable to load the implicit shared object

[复制链接]
 楼主| 发表于 2018-1-8 17:35:37 | 显示全部楼层
回复 8# phoenixson

我用了setenv CDS_AUTO_64BIT all,再進入到NC裡面去run,還是相同的錯誤
Screenshot from 2018-01-08 01-39-50.png
 楼主| 发表于 2018-1-9 08:41:13 | 显示全部楼层
回复 9# phoenixson

我在資料夾中找不到任何有關_sv_export.so 和librun.so.
我試過用setenv CDS_AUTO_64BIT all
Screenshot from 2018-01-08 16-40-38.png

和 setenv CDS_AUTO_64BIT none,
Screenshot from 2018-01-08 16-42-21.png

再去run檔案依舊沒法執行。
发表于 2018-1-9 16:44:01 | 显示全部楼层
try to compile your file step by step
1.ncsc
2.ncelab
3.ncsim

then check the logfile to find out the issue.
 楼主| 发表于 2018-1-12 08:37:34 | 显示全部楼层
回复 13# phoenixson

感謝您的指導,我用以下指令可以調試C
1. ncvlog -sv hello.sv
2. ncelab main
3. gcc-fPIC -shared -o libdpi.so hello.c -I /home/user/cadence/INCISIV131/tools.lnx86/inca/include
4. ncsim main
    未命名1.png


為何下irun -sv hello.sv hello.c卻不行,我對指令上感到疑惑?
发表于 2018-1-12 09:24:08 | 显示全部楼层


You can refer to the standard compiling and simulation flow about DPI in cadnece help document.
 楼主| 发表于 2018-1-22 15:25:40 | 显示全部楼层
回复 15# phoenixson


我在 hello.sv中使用export指令,hello.sv程序如下:
////////////////////////////////////////////
program main();

import "DPI-C" reportc=task reportc();
export "DPI-C" task waitNs;

initial
begin
  reportc();
end

task waitNs(input int unsigned ns);

#ns;
endtask
endprogram
///////////////////////////////////////////

elaborate the design時發生了Warning(ncelab main)
ncelab: *W,DPIEXP: DPI export function in _sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in _sv_export.so not available.
若把hello.sv中的export disable,則*W,DPIEXP則不會出現。此問題跟DPI export有關係。
不知這要如何解決???
20180116_1554.png
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 06:34 , Processed in 0.021980 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表