在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4885|回复: 8

[讨论] VCS run OK but simv failed, please help

[复制链接]
发表于 2017-12-17 11:01:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
VCS runs OK

vcs -Mupdate counter_4bit.v tb_counter_4bit.v -debug_all -full64 &

but after the run, when I run ./simv , I need help to handle "Dumping VCS annotated

I got the following dump:
Command line: ./simv

--- Stack trace follows:
Chronologic VCS simulator copyright 1991-2016
Contains Synopsys proprietary information.
Compiler version L-2016.06_Full64; Runtime version L-2016.06_Full64; Aug 20 08:49 2017

Dumping VCS Annotated Stack:
#0 0x00007f341695b197 in waitpid () from /lib64/libc.so.6
#1 0x00007f34168cd947 in do_system () from /lib64/libc.so.6
#2 0x00007f341caea6f8 in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015 b313e639d2928478c79b3f673f99203bcf8be6460061210008 2236bffb2007f1e0ef9 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/liberrorinf.so
#3 0x00007f341caebde5 in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015 b313efba706aab251478fa49e66610e453774633a6c152e7ef 778f2202cda681f3d4e () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/liberrorinf.so
#4 0x00007f341cae4f15 in SNPSle_d35ca1ff70d465c2b9b1a72eee90a506fdd009d3de3 db1de () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/liberrorinf.so
#5 0x00007f341a2b2088 in SNPSle_64133461705005bb725549e2e6fa1b3f () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#6 0x00007f341a15b266 in SNPSle_82244d58c54c18c70d63edc9becab634 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#8 0x00007f341c89f202 in mem_page_get_descr () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libsnpsmalloc.so
#9 0x00007f341c8a7a2e in mem_free () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libsnpsmalloc.so
#10 0x00007f341c892944 in snpsCheckFreeFunc () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libsnpsmalloc.so
#11 0x00007f3419fc1727 in SNPSle_77e776236473f4bc83d28b2811172395 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#12 0x00007f3419fbc55f in SNPSle_4e2b4bf1677349c165275718f4085a72 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#13 0x00007f3419e32cc1 in SNPSle_e08561af70b15158c1effee38014f2e6 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#14 0x00007f3419e32dce in SNPSle_6a600e65c1e59889d2167a3056e08869 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#15 0x00007f3419e32e24 in SNPSle_79019f86c02f3a7a4fc861b56b22e07a449f142e33a 64a009cd88060dca82713b97bc310751f5b0c () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#16 0x00007f34172fd36d in start_thread () from /lib64/libpthread.so.0
#17 0x00007f3416997b8f in clone () from /lib64/libc.so.6
#0 0x00007f341695b40d in nanosleep () from /lib64/libc.so.6
#1 0x00007f341695b34a in sleep () from /lib64/libc.so.6
#2 0x00007f3419d7f83e in SNPSle_95ae9cc2e78cc668673c60b8d88c4908 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#3 0x00007f3419d7f9c9 in SNPSle_92de4d0d4cf0d6931bc37e8d42a01d93 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#4 0x00007f3419d7e22a in SNPSle_b76ef993ee82b3d58a5cadddbec8b67c () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#5 0x00007f3419d7e4d6 in SNPSle_f28f24b8c84ac8f6e02e0b03bcd33aa8 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#6 0x00007f3419d479e6 in SNPSle_b02289328df49303de0a4a986839ba718c1b162de58 5ec41 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#7 0x00007f3419d4833f in SNPSle_b02289328df493035a8cfe7a2a96e3f1 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#8 0x00007f3419d49fe0 in SNPSle_7309f02bf869c35a32837a6e487da0db () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#9 0x00007f3419d4aadb in SNPSle_b02289328df493035a8cfe7a2a96e3f14356a2494eb 82428 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#10 0x00007f3419d4bf1e in SNPSle_b02289328df493035a8cfe7a2a96e3f105a2510afcb 822b0f2351f16fde66779 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#11 0x00007f3419d4c7ac in SNPSle_ba11b1edbd04051f5bb81b1861cdf84a () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#12 0x00007f3419d3abc4 in SNPSle_2e65c0794628fc5af60953149776c29b () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#13 0x00007f3419d321a3 in SNPSle_541f757be362289a7c9e5618c0ff28327846f8d3cc0 2839f () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#14 0x00007f3419d2cd5c in SNPSle_c0de1345d5ab80930e06dd2b68f214c3 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#15 0x00007f3419d2ce6d in SNPSle_25cd5712eacded5feaee03dc3430943e () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#16 0x00007f341a2ca487 in SNPSle_490598bfebcc8e8183ad3550288b1f82 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#17 0x00007f341a2d5553 in SNPSle_490598bfebcc8e81 () from /home/tools/synopsys/vcs-mx_vL-2016.06/linux64/lib/libvcsnew.so
#18 0x00007f341d5d62ab in main () from /home/dubeeloo/proj/RTLdesign/VCStraining/vcsfiles/simv.daidir/_csrc0.so
#19 0x00007f34168a74da in __libc_start_main () from /lib64/libc.so.6
#20 0x000000000040c27a in _start ()
No context available
发表于 2017-12-18 09:36:27 | 显示全部楼层
Do you mean compile pass, but simv failed?
发表于 2017-12-18 21:53:50 | 显示全部楼层
license没破解对
 楼主| 发表于 2017-12-19 03:38:16 | 显示全部楼层
回复 2# yuanpin318


   thank you for your replythe following run was finished without any incident,

vcs -Mupdate counter_4bit.v tb_counter_4bit.v -debug_all -full64 &

../simv up to date
CPU time: 1.217 seconds to compile + 1.403 seconds to elab + .310 seconds to link

[1]    Done                          vcs -Mupdate counter_4bit.v tb_counter_4bit.v -debug_all -full64


The next step would be ./simv, which gave me the original dump
 楼主| 发表于 2017-12-19 03:42:43 | 显示全部楼层
回复 3# churchmice


   Thank you very much for your reply.
Could you elaborate what was wrong? the license file was used for VCS run
vcs -Mupdate counter_4bit.v tb_counter_4bit.v -debug_all -full64 &

without any errors:

../simv up to date
CPU time: 1.217 seconds to compile + 1.403 seconds to elab + .310 seconds to link

[1]    Done                          vcs -Mupdate counter_4bit.v tb_counter_4bit.v -debug_all -full64
发表于 2017-12-19 09:22:50 | 显示全部楼层
I guess your license is not correct. I have ever meet the same problem. compile pass, but simv fail.

Can you paste the first 5 lines of your license file?
 楼主| 发表于 2017-12-19 15:33:02 | 显示全部楼层
回复 6# yuanpin318

thank you, yuanpin318 for the reply
here you are, first 5 lines:

   SERVER xiian d067e53aa071 27000
DAEMON snpslmd /home/tools/synopsys/sclv11.10/linux64/bin/snpslmd
VENDOR snpslmd /home/tools/synopsys/sclv11.10/linux64/bin/snpslmd
#All features of Synopsys collected by bingled until Feb 9th,2012

#SERVER linux 112233445566 27000 #your hostname and MAC
PACKAGE EFA_Synopsys_1 snpslmd 2019.12 50A0405169FA0249B11C COMPONENTS="A3200DX A42MX A500K A54SX \
        A54SXA A7 AIM_DEBUG AIM_DEBUG_MEMSSE AIM_DECRYPT AIM_DEVELOPER AIM_DEVELOPER_MEMSSE \
        AIM_ENCRYPT ALTGEN1 ALTGEN2 AN-Impl3D AN-Impl3D_all AN-Impl3D_ise AN-Impl3D_ise_all APACM \
        APATD APCS APDP APEX20K APEX20KC APEX20KE APEXII APGA4AP APGACS APGADP APGAHPO APGASolar \
        APGASolarII APGATime APGApwr APHPO APPower APRV APSolar APSolarII APTime APXtalk APpwr \
        ARKOS-A ARKOS-B ARKOS-C ARKOS-D ARKOS-E ARKOS-Ice ARKOS-Mcomp ARKOS-Rtlcomp ARKOS-Scomp \
        ARKOS-Simul Astro Astro-Express Astro-MV Astro-Rail Astro-Xtalk AstroBeta AstroExp AstroGA \
        AstroRail AstroRailSA AstroSpecialBeta AstroXtalk" ck=197
发表于 2017-12-19 19:39:48 | 显示全部楼层
回复 5# xiaoyisimonguo


   我都跟你说了license不对,license不对为什么我会知道?这问题我碰到过,研究过好久
你爱用英文你就慢慢整吧
发表于 2022-6-11 16:44:24 | 显示全部楼层


churchmice 发表于 2017-12-19 19:39
回复 5# xiaoyisimonguo


请教是哪里出问题?1patch V0.3生成的license

碰到同样的问题,simv问题,无法定位是哪个feature的问题,license的log文件也没有任何提示
头大。


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:54 , Processed in 0.025780 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表