在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1496|回复: 2

[求助] Synopsys VCS 仿真

[复制链接]
发表于 2017-9-21 04:12:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神,小弟现在在用VCS进行电路仿真, 在具体实践中遇到了一些问题希望求助大家。 我现在用VCS仿真电路,写了一个toplevel 文件和一个testbench。 我目前可以用[size=18.6667px]DVE, 观察到testbench和toplevel里nodes的波形。 不过我尝试用$fwrite 和$monitor指令获得各个node的值,却只能得到testbench里输入和输出node的值,请问有没有办法可以输出toplevel里nodes的值呢?

[size=18.6667px]谢谢大家!
发表于 2017-9-21 15:28:36 | 显示全部楼层
試試明確指定要dump出來的信號名。
比如
always @ (posedge clk) begin
if (dump_enable) //you want record the value in the specified simulation time
    $fwrite(wid, "signal1 = %h\n", tb.toplevel.signal1);
end
 楼主| 发表于 2017-9-22 02:32:11 | 显示全部楼层
回复 2# wide_road


   不好意思,看的不是很明白。可以舉個例子嗎?假設我的toplevel是這個:
`timescale 1ns/10ps
module c103 ( N1, N2, N3, N4, N5, N6, N11 );
  input N1, N2, N3, N4, N5, N6;
  output N11;
  wire   n3, n4;

  OA22X1_RVT U4 ( .A1(n3), .A2(N3), .A3(n4), .A4(N6), .Y(N11) );
  AND2X1_RVT U5 ( .A1(N5), .A2(N4), .Y(n4) );
  AND2X1_RVT U6 ( .A1(N2), .A2(N1), .Y(n3) );
endmodule


testbench是這個:

`include "saed32nm.v"
`timescale 1ns/10ps

module c103_tb();
  reg N1, N2, N3, N4, N5, N6;
  wire N11;


integer statusI,in, fileid;

c103 a ( N1, N2, N3, N4, N5, N6, N11 );



initial

$vcdpluson;//Record all signal value changes;



initial begin

in = $fopen("data6.txt","r");

fileid = $fopen("c103.txt","w");


repeat (100) begin



#5 statusI = $fscanf(in,"%h %h\n %h\n %h\n %h\n %h\n",N1, N2, N3, N4, N5, N6);

$display("|n3|n4|\n");

$monitor ("%t ",$time, c103.n3, c103.n4);

$strobe ("%t ",$time, c103.n3, c103.n4);

// $fwrite(fileid, "%t ",$time, N1, N2, N3, N4, N5, N6, "\t", N11,"\n" c103.n3, c103.n4);





end



$fclose(in);

$fclose(fileid);
  
#300  $finish;

end

endmodule


我想觀測node n3和n4.

目前我用的指令是:vcs c103_gate.v c103_benchmark.v -sverilog -debug_all +vcd+vcdpluson

請問應該怎麼改呢?

謝謝。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 21:45 , Processed in 0.017324 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表