在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 62582|回复: 255

[资料] SDRAM、DDR3、DDR4 verilog model

[复制链接]
发表于 2017-9-6 20:41:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 、窝里康 于 2017-9-15 22:05 编辑

在学习ddr,找了一些仿真模型,都是verilog写的

DDR3 SDRAM Verilog Model.zip (69.19 KB, 下载次数: 1325 )

sdr_sdram.zip (12.15 KB, 下载次数: 1012 )

ddr4_verilog_models.zip (1.04 MB, 下载次数: 1685 )
发表于 2017-9-6 21:02:16 | 显示全部楼层
正好需要!!!
发表于 2017-9-6 23:46:13 | 显示全部楼层
多谢。。。
发表于 2017-9-8 09:55:42 | 显示全部楼层
谢谢楼主分享!
发表于 2017-9-9 06:53:13 | 显示全部楼层
太感谢楼主了
发表于 2017-9-10 13:28:56 | 显示全部楼层
多谢。。。回复 1# 、窝里康
发表于 2017-9-11 15:17:00 | 显示全部楼层
发表于 2017-9-11 16:37:51 | 显示全部楼层
多谢分享
发表于 2017-9-17 06:48:17 | 显示全部楼层
回复 1# 、窝里康


    感谢分享,呵呵。
发表于 2017-9-17 10:21:28 | 显示全部楼层
先看看  谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 06:48 , Processed in 0.028834 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表