在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2155|回复: 1

[求助] System Verilog通过DPI使用C memory块

[复制链接]
发表于 2017-7-21 10:50:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 xuhaoee 于 2017-7-21 12:36 编辑

通过DPI接口,SV中能够获得C side分配的一个内存块(由malloc分配)的handle,但是如何在SV side访问这个内存块的内容呢?我尝试了两种方法,都不行,请了解的人指导下~多谢
方式一:
chandle memory;
import "DPI" function chandle golden();
memory = golden();
$display(memory[0]);  //编译报错,说不能这么操作chandle变量  

方式二:
int memory;
import "DPI" function int golden();
memory = golden();
$display(memory[0]);   //C side指针类型也是int型,这里虽然可以打印数据,但打印出的数据完全不对
发表于 2017-7-21 18:29:09 | 显示全部楼层
在C端的memory是值这个memory需要在SV 与C都可读可写吗?
应该在SV端做一个memory1数组,输入到golden中,golden输出一个memory2  复制到memory1就好了
SV数组与C端交换是需要转换的
SystemVerilog        C(输入)                                        C(输出)
open arry[]        const svOpenArrayHandle        svOpenArrayHandle
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 15:27 , Processed in 0.017461 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表