在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3900|回复: 4

[求助] VCS怎样增加UVM库,并编译。

[复制链接]
发表于 2017-7-19 16:52:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
下载了uvm-1.1d.tar.gz,并解压在当前文件夹内。在.bshrc文件中添加export UVM_HOME=/home/IC/software/uvm1.1d/uvm-1.1d
makefile为以下,
comp:
        vcs -sverilog   \
        +incdir+$(UVM_HOME)/src  \
        +$(UVM_HOME)/src/uvm.sv  \
        +$(UVM_HOME)/src/dpi/uvm_dpi.cc  \
        dut.sv top_tb.sv

编译后报错
请问编译选项没错吧?还是应为vcs -sverilog -ntb_opts uvm-1.1 +incdir+.  dut.sv top_tb.sv  ?
发表于 2017-7-22 00:25:11 | 显示全部楼层
回复 1# zcjmaster

应该都可以的。请参考vcs user guide, “Using UVM With VCS ”
发表于 2017-7-22 16:04:26 | 显示全部楼层
VCS版本高的话只需要加一个ntb_ops然后指定库就好了好像.
发表于 2017-8-8 17:27:45 | 显示全部楼层
1.1d库里面有编译库的马克file文件。在uvm1.1d\examples 下面。希望对你有帮助
发表于 2021-10-14 15:10:53 | 显示全部楼层
very good thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-19 18:11 , Processed in 0.028357 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表