在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5133|回复: 10

[求助] NCSIM仿真

[复制链接]
发表于 2017-6-9 11:19:37 | 显示全部楼层 |阅读模式
100资产
在利用ncverilog进行仿真时出现这样的错误,该如何解决?
ncsim: *E,IMPDLL: Unable to load the implicit shared object.
OSDLERROR: /prj/.../v/_sv_export.so: cannot open share object file:No such file or directory or file is not valid ELFCLASS32 library ...

发表于 2017-6-9 11:27:50 | 显示全部楼层
系统缺少库文件。
安装这个.so文件就可以解决了!

祝好运!
 楼主| 发表于 2017-6-9 14:26:26 | 显示全部楼层
回复 2# 固执的寻觅


这个是什么库啊?怎么加的啊?
 楼主| 发表于 2017-6-9 14:28:18 | 显示全部楼层
回复 2# 固执的寻觅


   那个库我找了很久,没有找到这个文件,是否需要设置什么命令啊?
发表于 2017-6-9 14:44:01 | 显示全部楼层
是系统库,跟操作系统有关的!
你是什么系统?
 楼主| 发表于 2017-6-9 14:51:08 | 显示全部楼层
回复 5# 固执的寻觅


   linux系统。
发表于 2017-6-9 15:10:20 | 显示全部楼层
不是linux environment
請加上 -loadpli1 試試
 楼主| 发表于 2017-6-9 15:43:00 | 显示全部楼层
回复 7# wythelin


   这个命令我加上试过,还是不行。还是谢谢哈!
发表于 2017-6-16 17:21:17 | 显示全部楼层
回复 8# 小坤坤帅
我猜測你是使用UVM吧請問你是three step or one step?
three step 請在
ncelab 加上 -loadpli1
[size=13.3333px]${CDS_INST_DIR}/tools/uvm/uvm_lib/uvm_sv/lib/64bit/libuvmpli.so:uvm_pli_boot
one  step 請在
irun 加上 -uvm -uvmhome  ${CDS_INST_DIR}/tools/uvm
 楼主| 发表于 2017-6-23 11:51:27 | 显示全部楼层
回复 9# wythelin


   三步的,这个问题我解决了,也是加载的-loadpli1 ,但是后面接的是verdi下的debpli.so这个库。还是非常感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 22:40 , Processed in 0.032466 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表