在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1785|回复: 5

[求助] 在Verilog中如何对一个文件中的数据一个一个读取,求大神帮忙

[复制链接]
发表于 2017-5-24 16:22:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
verilog语言实现在TXT文件中一个一个的读取二进制码,赋给一个变量。
发表于 2017-5-24 16:42:20 | 显示全部楼层
用$fscanf 或者$fread
发表于 2017-5-24 20:46:52 | 显示全部楼层
So easy
发表于 2018-5-17 13:13:31 | 显示全部楼层
好的,多谢,试试
发表于 2018-5-30 17:31:10 | 显示全部楼层
本帖最后由 qiu090909 于 2018-5-31 11:35 编辑

发表于 2018-5-30 17:58:35 | 显示全部楼层
fd=$fopen(TERGET_FILE_PATH,"r");

            if(fd==0)begin
                //
                $display("File Open Error!!!!!");
                //
                disable FILE_READ_SEQ;
            end else begin
                //
                $display("File Open OK");
            end

            //
            //-------------------------------
            begin:FILE_LOOP
                forever begin                  
                    //
                    if($feof(fd) != 0)begin
                        $display("File End !!");
                        disable FILE_LOOP;
                    end

                    
                    @(posedge i_clk);
                    rtn=$fscanf(fd,"%b %h\n",bin_dat,hex_dat);
                end
            end

            
            //-------------------
            $fclose(fd);
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 18:01 , Processed in 0.023466 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表