在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5366|回复: 1

[求助] 请教xilinx FPGA ISERDES的Bitslip的使用

[复制链接]
发表于 2017-4-28 09:59:01 | 显示全部楼层 |阅读模式
200资产
14.png
我的理解:
      ISERDES的bitslip功能就是对解串后的并行数据bit位置进行调整。

      那么问题来了:
             (1)进入ISERDES等待解串的数据是由一个CLKDIV周期来界定的,如上图事件1所示,
               CLKDIV界定了CDBA。
             (2)我们经常用ISERDES来做LVDS视频信号的解串,视频信号在一个CLKDIV内一般传输一个像素,
              上图所示的CDBA很显然来自于两个不同的像素,在两个不同像素的bit间怎么调整位置,它还是属于两个像素,那么bitslip操作还有什                 么意义?

本人最近研究xilinx 的xapp585文档,并看了example design。设计里先用idelay对数据进行延迟,找准采样点;完了,再用bitslip操作进行字对齐。

发表于 2017-4-28 14:56:28 | 显示全部楼层
bitslip操作就是在确定采样点后,由于采样时钟和,帧信号,数据延迟不一样,而进行的调整操作。每次调整操作你判断采样的数据是否与你的期望值是否一样,比如用bilslip调整帧信号。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 20:31 , Processed in 0.017928 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表