在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2024|回复: 0

quartus组合电路时序仿真,没有出现毛刺,请问是什么原因?

[复制链接]
发表于 2017-1-16 13:42:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 yfy 于 2017-1-16 13:51 编辑

代码:
library ieee;
use ieee.std_logic_1164.all;
entity half_add is
    port (a           : in std_logic;
        b           : in std_logic;
        result     : out std_logic;
        c        : out std_logic    );
end entity;
architecture rtl of half_add is
begin
    result <= a xor b;
    c <= a and b;
end rtl;
出现毛刺的仿真时序图:

出现毛刺的仿真图

出现毛刺的仿真图




我自己进行时序仿真未出现毛刺的图形:

没有毛刺的原因

没有毛刺的原因

求出现这种情况的原因?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 14:47 , Processed in 0.017982 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表