在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1206|回复: 0

[求助] 求助幫忙 FSM VERILOG~

[复制链接]
发表于 2016-12-12 19:53:47 | 显示全部楼层 |阅读模式
10资产
我想要利用FSM寫一個電路,但不知道怎麼寫!
想請各位幫幫忙~
Module name           my_controller
Signal                     Direction        Description
clk                            input           System clock
rst_n                         input          reset signal, active low
mc_din[27:0]             input          input raw data
mc_op[3:0]               input           input operation        (instruction)
mc_din_rdy               input           input data ready
ns_ack                      input          The next stage acknowledgement        signal
mc_dout[27:0]          output        output data
mc_dout_rdy             output        output data ready to next stage
mc_surplus [1:0]       output        data transfer surplus
mc_full                     output        current stage full signal to preceding stage

功能需求:
FSM將根據mc_op [3:0]處理輸入數據mc_din [27:0]。
有關輸入數據的四種可能的操作:移位,補碼,補碼和忽略。
接下來將重複或更改的輸出數據由mc_op [1:0]控制。
FSM將產生這些控制信號以控制數據存儲觸發器。
您將需要一個階段管道來存儲處理的輸入數據。
另一方面,如果數據存儲已滿,您的FSM還會向您的前一級產生完整信號以保持輸出數據,並生成到下一級的就緒信號(mc_dout_rdy),以通知它輸出數據已準備就緒,直到下一級確認(ns_ack )。

operation function table
mc_op[3:2](decide the result )                     mc_op[1:0]        (control repeat)
00: input data shift 1 bit right                      00: output the “input raw data” 1 time
01: do 1’s complement                                01: output the “input raw data” 1 time and “result data” 1 time
10: do 2’s complement                                10: output the “input raw data” 1 time and “result data” 2 times
11: ignore the input data , (no output)
mc_op[1:0] function is also ignoredclk.         11: output the ” input raw data” 1 time and “result data” 3 times

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 23:23 , Processed in 0.017032 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表