在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 杰克淡定

[原创] Verilog基本电路设计之二(时钟无缝切换)

[复制链接]
发表于 2017-9-8 15:11:22 | 显示全部楼层
回复 79# 杰克淡定


   还有一个问题,如果重新定义create_clock clk_mux的话,由于clk_mux来源于两个不同频率的时钟,那么-period以及-waveform等参数又该如何确定呢?
 楼主| 发表于 2017-9-8 15:47:52 | 显示全部楼层
本帖最后由 杰克淡定 于 2017-9-8 15:49 编辑

回复 81# window_blinds


你得先把因果顺序弄清楚。
因为你的应用场景需要切换后的时钟与两个源时钟保持同步,所以切换后的时钟你必须定义为create_generated_clock;
因为你的应用场景无需切换后的时钟与两个源时钟保持同步,所以切换后的时钟你可以定义为create_clock。

切换前后同步与否,不是受切换电路限制的,是根据你的应用需要来的。

如果切换后时钟可以定义为create_clock,说明它就是一个全新的异步时钟域,相位设置自然不用受限于前面的两个源头,频率则根据前面两个源头的最大可能值确定即可。
发表于 2017-9-8 16:37:46 | 显示全部楼层
回复 82# 杰克淡定


   受教了,谢谢楼主耐心解答。
发表于 2017-9-13 19:03:37 | 显示全部楼层
mark,自我总结,这并不是一个general的设计,还需要根据具体场景进行灵活修改,主要是下面两个因素:
1,切换的快慢,即sel_clkb的变化时间
2,时钟频率的绝对值
这两个因素会影响输出是否有混叠。混叠指的是两个时钟叠加到一块了。
发表于 2017-9-14 15:21:20 | 显示全部楼层
學習了,棒。
发表于 2017-9-15 11:37:56 | 显示全部楼层
深圳-南山区(浙江赛思电子)招聘数字IC设计工程师,IC验证工程师(待遇从优)
                                                                有意向者可联系,陆先生:15067338277
发表于 2017-9-20 16:35:41 | 显示全部楼层
clk_gate_xxx 怎么调用的啊?我在Quartus里没找到,能指点一下吗?
发表于 2017-9-28 01:19:04 | 显示全部楼层
回复 87# 张安


    FPGA上就不要用门控时钟了,直接用与门,注意part2/4用下降沿。  然后切换出来的时钟再走bufg就可以了
发表于 2017-11-4 15:33:02 | 显示全部楼层
回复 1# 杰克淡定


   楼主你好,这个电路是如何保证低电平切换的,我们知道,在3个和4个甚至更多时钟选择时,这很重要
发表于 2017-11-7 12:04:43 | 显示全部楼层
新手学习中!持续关注大佬的其他文章!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 07:40 , Processed in 0.026771 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表