在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12729|回复: 5

[求助] Vivado能综合SystemVerilog吗?

[复制链接]
发表于 2016-4-8 09:57:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
看到最新的Quartus Prime 15.1可以支持Systemverilog,当然是可综合的部分,不知道Vivado和ISE是否支持?
主要是SystemVerilog可以在端口传递二维数组,有些场合会方便很多。
发表于 2016-7-12 17:46:26 | 显示全部楼层
传个数组没问题,但是我认为vivado SV的可综合部分支持不好
发表于 2016-8-7 23:44:32 | 显示全部楼层
回复 1# linghuqiubai

可综合子集已经可以用了,但需要多练练,验证部分支持的不够,复杂结构就别用了。。
发表于 2016-8-8 09:12:23 | 显示全部楼层
我觉得还是取决于你的代码是否可综合
发表于 2017-2-18 12:12:41 | 显示全部楼层
回复 1# linghuqiubai

一直在用vivado综合systemverilog语言RTL,只要是符合综合要求的,数据结构、包、接口、抽象类,均可综合,并生成BIT文件
发表于 2018-4-4 15:35:56 | 显示全部楼层
综合SystemVerilog
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 13:47 , Processed in 0.036610 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表