在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: zaner

[求助] Virtex-II 配置回读问题

[复制链接]
 楼主| 发表于 2015-10-29 10:43:34 | 显示全部楼层
回复 9# holliwood 你好~非常谢谢你能帮我看看这个,特别感激!!
security和persist都在iMPACT中设置了,如下图:
4.jpg

.bit文件中控制寄存器CTL中persist位也是yes,如下图,CTL寄存器为00000008,
6+7.jpg

上次你跟我说了读寄存器,后来我试着读寄存器中的数据,读出来有点小问题,第一个是IDCODE寄存器,用的是XC2V40,ID寄存器应该读出0x01008093,但是我只读出来008093这些部分,如下图:
7.jpg

第二次读COR寄存器,应该读出来0x22843FE5,但是读出来843FE5,如下图:
8.jpg
下图为bit文件:
5_副本.jpg
 楼主| 发表于 2015-10-29 10:46:13 | 显示全部楼层
回复 10# holliwood

,谢谢帮忙了!!万分感激~~我在试一试不用这个库原语~~
 楼主| 发表于 2015-10-29 14:40:51 | 显示全部楼层
本帖最后由 zaner 于 2015-10-29 14:42 编辑

回复 10# holliwood
我想请问下,最后SelectMAP数据端口保持F9,会不会是进入到ABORT中断了。我试了一下不用那个原语,采用SHUTDOWN方式,感觉回读过程中FFFF后面的00应该是读出来的配置数据,但是接着就是F1F1,F9不知道什么原因会导致出现这样情况,我在想是不是产生ABORT了? 10.jpg

9.jpg
 楼主| 发表于 2015-10-29 16:06:07 | 显示全部楼层
11.jpg 我用iMPACT回读STAT寄存器发现Decryptor security set : 1,这个是安全设置么?一直没有找到在哪里设置这个选项。
发表于 2015-10-29 22:39:11 | 显示全部楼层
本帖最后由 holliwood 于 2015-10-29 22:40 编辑

回复 13# zaner
你这两幅图上的波形要干什么没看懂,但是感觉像是重写IDCODE,回读全部的配置码流安装手册上的码流替换掉要读的长度就行了,你也可以试一下别的CCLK时钟,我们仿真用的是周期是38.4ns的时钟。你调下CS_B和RDWR_B试试
发表于 2015-10-29 22:44:36 | 显示全部楼层
另外,你要注意下busy信号,如果busy信号变高或者不定态就不要操作
发表于 2015-10-29 22:50:10 | 显示全部楼层
回复 13# zaner

你这楼的波形看不懂你要干什么,你要写IDCODE? 回读流程和码流还是按照手册上的码流来,计算好回读的长度,要主要busy信号,同时要注意下CS_B和RDWR_B和CCLK的关系,有条件可以换个CCLK的时钟频率,我们仿真用的是38.4ns的cclk
 楼主| 发表于 2015-10-30 08:51:49 | 显示全部楼层
回复 16# holliwood
好的,我在看看能不能把busy信号读出来,谢谢帮忙啦~~~
 楼主| 发表于 2015-10-30 11:08:03 | 显示全部楼层
回复 15# holliwood

13楼的发送序列是按照.rbb文件的顺序来的,.rbb是回读文件,所以试了一下.rbb中的命令,首先发送器件ID码,然后发送shutdown,接着就跟手册上面的差不多,主要区别就是在同步字后面多发送一个ID码,其他回读序列都差不多。
 楼主| 发表于 2015-10-30 11:19:05 | 显示全部楼层
回复 17# holliwood

请问下,回读长度设置不对会不会对回读产生影响,手册回读长度写的是0x480222FA,但是我算了下这不是10530个32字,应该是0x48002922,而且.rbb文件中发送的也是0x48002922,所以我发送的基本都是0x48002922。我把回读出来的数据存放在SRAM中,因此回读计数设置的是21060个16位数据,接收完21060个16位数据就停止读操作。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 14:25 , Processed in 0.047212 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表