在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 61200|回复: 259

[原创] VCS+UVM+VERDI+Makefile 验证环境

[复制链接]
发表于 2015-9-26 21:44:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
linking_dumping.pdf (553.57 KB, 下载次数: 1628 )
V3_new_transaction_debug_platform.pdf (5.35 MB, 下载次数: 2078 )

makefile.zip (1.82 KB, 下载次数: 2380 )

软件平台如下:
UVM : 1.1d
VCS :  VCS_MX_vK-2015.09 (64位)
VERDI : VERDI-K-2015.09     64位)

1. CSHRC 设置
    UVM_HOME 指向UVM1.1x 或者1.2的库
    NOVAS_HOME 指向verdi安装目录
     * 如果是2014以下的版本* 还应设置 LD_LIBRARY_PATH
      
64-bit:
setenv VCS_TARGET_ARCH amd64
setenv LD_LIBRARY_PATH $NOVAS_HOME/share/PLI/VCS/LINUX64

32-bit: (vcs default)
setenv LD_LIBRARY_PATH $NOVAS_HOME/share/PLI/VCS/LINUX

2. MAKEFILE 中VCS、SIMV 和VERDI的启动参数
    VCS编译指令: 针对2014以上版本 使用-debug_access+all 模式,额外增加 -ntb_opts uvm-1.x 选项
                         针对64位系统加入-LDFLAGS"-Wl,--rpth,$(NOVAS_HOME)/share/PLI/VCS/LINUX64"

                         针对2014以下版本,需明确pli和novas.table的位置 加入 -P $(NOVAS_HOME)/share/PLI/VCS/LINUX64/novas.tab  $(NOVAS_HOME)/share/PLI/VCS/LINUX64/pli.a

     SIMV仿真:   针对使用-debug_access+all 模式的,tb中通过$fsdbDumpfile指定的文件会失效,需要在simv中通过
                        +fsdbfile+filename.fsdb 方式指定。
                        另外增加 +UVM_VERDI_TRACE="UVM_AWARE+RAL+TLM+MSG+HIER+PRINT" +UVM_VERDI_TRACE +UVM_TR_RECORD  +UVM_LOG_RECORD  指令以支持将UVM相关的内容dump到fsdb中。

      VERDI    : 只需增加UVM库的编译。+$(UVM_HOME)/src $(UVM_HOME)/src/uvm.sv 即可


附件是synopsys对应的文档和我的.cshrc 和makefile文件。
发表于 2015-9-28 16:31:17 | 显示全部楼层
多谢楼主分享,这几天正需要这个呢,先仔细研究一下!
发表于 2015-9-29 10:46:05 | 显示全部楼层
duoxie fenxiang
发表于 2015-9-29 10:47:01 | 显示全部楼层
感谢楼主分享
 楼主| 发表于 2015-11-3 23:37:20 | 显示全部楼层
都这么有钱了 还是得灌点水啊。 竟然有人设个51的权限。。。。
发表于 2015-11-4 09:18:41 | 显示全部楼层
好资料!谢谢了!
发表于 2015-11-5 09:24:27 | 显示全部楼层
感谢楼主分享!
发表于 2015-11-12 02:12:07 | 显示全部楼层
thnx!
发表于 2015-11-13 23:26:41 | 显示全部楼层
VCS+UVM+VERDI+Makefile 验证环境
发表于 2015-11-17 18:06:52 | 显示全部楼层
VCS+UVM+VERDI+Makefile 验证环境
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-16 19:48 , Processed in 0.044691 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表