在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13532|回复: 18

Cadence IC616 + MMSIM 141 安装

[复制链接]
发表于 2015-9-26 00:31:51 | 显示全部楼层 |阅读模式
1资产
RHEL 6.5 64位操作系统下安装Cadence IC6.16 和 MMSIM13.1,按照min4max的方法(见帖子 http://bbs.eetop.cn/thread-452284-1-1.html)。Cadence IC616能够正常启动,并且可以在ADE L Editing中编辑原理图,见下图: IMG_2219.JPG

使用ADE L进行DC稳态工作点仿真时候,收到提示:
ERROR<ADE-3036>:Errors encountered during simulation. The simulator run log has not been generated.
           Possible cause could be an invalid command line option for the version of the simulator you are running. Choose Setup-            >Environment and verify that the command line options specified in the userCmdLineOption field are supported for the            simulator. Alternatively, run the simulator standalone using the runSimulation file in the netlist directory to know the              exact cause of the error.

见下图:

IMG_2220.JPG


按照错误提示,在Setup->Environment中查看userCmdLineOption域,默认为空。
按照给出的另外一条途径,cd 进入netlist文件夹,运行 runSimulation文件,结果提示:
./runSimulation: line 2: spectre: command not found. 见下图:
IMG_2221.JPG


查看 runSimulation文件内容为:

# runSimulation scripts generated for spectre interactive are not intended to be used for command line.
spectre input.scs +escchars +log ../psf/spectre.out +inter=mpsc
+mpssession=spectre0_10609_3 -format psfxl -raw ../psf +lqtimeout 900 -maxw 5 -maxn 5 -64

搜索到spectre的路径为 /opt/cadence/MMSIM131/bin 或 /opt/cadence/MMSIM131/tools 或 /opt/cadence/MMSIM131/tools.lnx86,并且在min4max提供的脚本文件cadence 中包含MMSIM环境变量设置语句:
export MMSIMHOME=$CADHOME/MMSIM131
export PATH=$MMSIMHOME/bin:$MMSIMHOME/tools/bin:$MMSIMHOME/tools/spectre/bin:${PATH}


其中,CADHOME=/opt/cadence


本人在根目录 / 下面创建路径 /opt/cadence用于安装IC616和MMSIM131,并且将工程文件夹创建在同一目录下,见下图:


IMG_2223.JPG



看到网上有很多朋友都遇到过ERROR <ADE -3036>的问题,但还是没能找到一套可用的解决办法,现将我的详细情况贴在这里,望各位朋友帮助解决一下。

 楼主| 发表于 2015-9-27 02:24:08 | 显示全部楼层
知道怎么解决的哥哥帮帮忙啊啊,写作业急等。。。
发表于 2015-9-28 11:12:07 | 显示全部楼层
Did you install gcc and g++ in RHEL
发表于 2015-9-28 11:28:57 | 显示全部楼层
try add
export  LD_LIBRARY_PATH=/usr/X11R6/lib:/usr/lib:/libCDSHOME/tools/lib
发表于 2015-10-8 17:54:54 | 显示全部楼层
hello friends i have the same problem... censtos 5.11.

i tried to add

export  LD_LIBRARY_PATH=/usr/X11R6/lib:/usr/lib:/libCDSHOME/tools/lib

but it is not solved the problem  
发表于 2015-10-9 18:25:04 | 显示全部楼层
還算順利
 楼主| 发表于 2015-10-12 00:23:46 | 显示全部楼层
回复 4# spwedasd

按照你的方法尝试过了,还是没有解决哦。电路编辑都可以,就是多模仿真的时候无法执行,哥哥还有什么别的方法么?
 楼主| 发表于 2015-10-12 00:24:51 | 显示全部楼层
回复 6# funny2055

你怎么安装的教教我哦
 楼主| 发表于 2015-10-12 00:25:33 | 显示全部楼层
回复 5# brunocortona


   希望能一起解决,共勉~
发表于 2015-10-12 11:07:02 | 显示全部楼层
本帖最后由 putechen 于 2015-10-12 11:08 编辑

我前段时间也碰到这个问题,虽然暂时解决了,但是还没没想通。
1.最开始用ic51+51自带的spectre,source了icfb配置和pdk的配置之后就出现你这样的问题,无法仿真,不出log。
也run过runSimulation,报maxw 5的错误,一头雾水。
2.无意中把测试仿真精度moderate改成librel,结果可以出log了(这个我到现在都不知道为什么,太诡异),但是报库方面的问题,用了LD_LIBRARY_PATH也无解,初步怀疑是spectre与系统(centOS6.5)冲突。
3.换用MMSIM13+ic51,还是不能仿真不出log。头破血流中。无意发现source了icfb后which spectre正常,但是随后source pdk的shell再which spectre报库错误。于是把问题定位到pdk.csh,最后注释掉里面的AUTO_64_BIT NONE就可以跑仿真了(icfb的shell里面有AUTO_64_BIT ON)

你的情况可能跟我不一样,供参考吧。
个人觉得好像还是spectre/MMSIM没装好或配置好或者和PDK等起了冲突导致的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 01:13 , Processed in 0.034263 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表