在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 57286|回复: 47

[求助] Vivado的debug core怎么用?

[复制链接]
发表于 2015-5-27 11:40:09 | 显示全部楼层 |阅读模式
50资产
按网上查的方法来,在最后烧bit文件时总是报下面的warning:



  1. WARNING: [Xicom 50-38] xicom: No CseXsdb register file specified for CseXsdb slave type: 0, cse driver version: 0. Slave initialization skipped.
  2. INFO: [Labtools 27-1434] Device xc7k410t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
  3. WARNING: [Labtools 27-3123] The debug hub core was not detected at User Scan Chain 1 or 3. You must manually launch hw_server
  4. with -e "set xsdb-user-bscan <C_USER_SCAN_CHAIN scan_chain_number>" to detect the debug hub at User Scan Chain of 2 or 4.
  5. To determine the user scan chain setting, open the implemented design and use: get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub].
  6. WARNING: [Labtools 27-1974] Mismatch between the design programmed into the device xc7k410t_0 and the probes file D:/Vivado/xc7k410t-2ffg900/ddr_slave_410t_20150527_1/ddr_slave_410t_20150527_1.runs/impl_1/debug_nets.ltx.
  7. The device design has 0 ILA core(s) and 0 VIO core(s). The probes file has 1 ILA core(s) and 0 VIO core(s).
  8. Resolution:
  9. 1. Reprogram device with the correct programming file and associated probes file OR
  10. 2. Goto device properties and associate the correct probes file with the programming file already programmed in the device.



复制代码



大概是说设计里没有ILA core,但是debug文件里有ILA core,而且debug probes窗口下什么也没有。但是,我综合后明明插入了debug core呀,而且在约束文件里也自动生成了相关信息,查看schematic,也添加了debug相关的两个元件,为毛program时就是看不到呢?
不知道有没有人遇到过类似的情况,求指点,万分感谢!

最佳答案

查看完整内容

VIO 和 ILA 的CLK 有问题。
发表于 2015-5-27 11:40:10 | 显示全部楼层
VIO 和 ILA 的CLK 有问题。
发表于 2015-5-27 12:58:05 | 显示全部楼层
1,重新生成下看看,IPcore版本不对
 楼主| 发表于 2015-5-27 13:50:58 | 显示全部楼层
回复 2# xduryan


   试过好几次都不行,版本不对是啥意思?
发表于 2015-5-27 14:41:33 | 显示全部楼层
确认一下probe文件
 楼主| 发表于 2015-5-27 14:49:51 | 显示全部楼层
回复 4# greenpine


   没什么问题呀
 楼主| 发表于 2015-5-28 09:43:17 | 显示全部楼层
回复 6# wilfred

我查的Xilinx的论坛,貌似也这么说,说是要用free running clock,但我也没弄明白什么样的叫free running clock。我用的就是那些寄存器本来的时钟,如果换个时钟的话,怎么能保证采样不会出问题呢?还是不太明白,能否详细指教?谢谢啦!
发表于 2015-5-28 09:49:32 | 显示全部楼层
所谓的free running clock就是上电就跑的时钟,而不是依赖某些条件才有的
发表于 2015-5-28 09:51:18 | 显示全部楼层
看警告是说你写入的bit文件和probe文件不匹配
The device design has 0 ILA core(s) and 0 VIO core(s). The probes file has 1 ILA core(s) and 0 VIO core(s).
 楼主| 发表于 2015-5-28 10:00:22 | 显示全部楼层
回复 9# greenpine

但其实是匹配的……我也不知道为啥报这个……http://forums.xilinx.com/t5/Design-Tools-Others/ILA-issues-with-Vivado-2014-3/td-p/539271
Xilinx论坛上有很多类似的问题,貌似跟时钟有点儿关系,给debug core专门生成了一个时钟,但还是不管用
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 06:05 , Processed in 0.029492 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表