在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2545|回复: 5

[解决] rhel6.5+Verdi11 64bit仿真

[复制链接]
发表于 2015-1-27 00:30:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
搞了好久,终于能仿真了,并且可以输出 fsdb文件。用 verdi11可以打开 xx.fsdb文件。   其他遇到了几个奇怪的问题:
     脚本格式:
vcs -full64 -v2k -R -f ../path/xxxx.f -debug_pp -line -P  /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/nonas.tab /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/pli.a -l vcs.log


另外增加环境变量:在 .bashrc文件中增加 :
export LD_LIBRARY_PATH=/home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXADM64.
否则会出现 有一个 xxxx.so文件找不到的问题。

只是初次接触,后续理解后再分享。

相关帖子

 楼主| 发表于 2015-12-23 23:29:38 | 显示全部楼层
vcs -full64 -R -Mupdate +v2k +notimeingcheck +nospecify -f ../script/rtl_bench.f -f ../script/xxx.f +define+rtl +define+fsdb -debug_pp -line -P /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/novas.tab  /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/pli.a -l vcslog.log
 楼主| 发表于 2015-12-23 23:30:18 | 显示全部楼层
vcs -full64 -R -Mupdate +v2k +notimeingcheck +nospecify -f ../script/rtl_bench.f -f ../script/xxx.f +define+rtl +define+fsdb -debug_pp -line -P /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/novas.tab  /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/pli.a -l vcslog.log
发表于 2016-1-6 17:10:07 | 显示全部楼层
vcs -full64 -R -Mupdate +v2k +notimeingcheck +nospecify -f ../script/rtl_bench.f -f ../script/xxx.f +define+rtl +define+fsdb -debug_pp -line -P /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/novas.tab  /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/pli.a -l vcslog.log
发表于 2016-1-6 17:10:18 | 显示全部楼层
vcs -full64 -R -Mupdate +v2k +notimeingcheck +nospecify -f ../script/rtl_bench.f -f ../script/xxx.f +define+rtl +define+fsdb -debug_pp -line -P /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/novas.tab  /home/EDA/Synopsys/VD2011/share/PLI/VCS/LINUXAMD64/pli.a -l vcslog.log
发表于 2016-1-6 17:10:22 | 显示全部楼层
瞅一瞅,瞅一瞅
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 08:09 , Processed in 0.025554 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表