在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1700|回复: 2

UVM代码求助

[复制链接]
发表于 2014-10-23 10:21:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 白兴金 于 2014-10-25 15:54 编辑

大侠们:
task my_driver::main_phase(uvm_phase phase);


   while(!top_tb.rst_n)
      @(posedge top_tb.clk);

   for(int i = 0; i < 10; i++)begin
      @(posedge top_tb.clk);
      top_tb.rxd <= $urandom_range(0, 255);
      top_tb.rx_dv <= 1'b1;
      `uvm_info("my_driver", "data is drived", UVM_LOW)
   end
以上代码中top_tb.rst_n前100ns为0,100ns为1,但是从仿真结果来看while(!top_tb.rst_n)  @(posedge top_tb.clk);没有消耗任何时间,这里while是怎样执行的啊?不太明白while(!top_tb.rst_n)  @(posedge top_tb.clk);是什么意思!谢谢啦!
发表于 2014-10-30 21:44:44 | 显示全部楼层
回复 1# 白兴金

virtual task  main_phase(uvm_phase phase);
    phase.raise_objection(this,"");

     在这里添加你的代码,没有raise,该task旁路执行。

   phase.drop_objection(this);
endtask
发表于 2014-10-30 22:05:44 | 显示全部楼层
不会啊,uvm不会。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 03:14 , Processed in 0.018477 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表