在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 18095|回复: 49

centos 7 安装DC 2013 VCS2013 IC615 MMSIM12.1 Modesim10.1c 成功

[复制链接]
发表于 2014-10-8 23:11:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近闲着没事,看了centos 7也出来几个月了,于是我就将我的6.4 更新到centos 7,本来想tar 已经装好的软件,但安装centos 7 搞错盘了(centos 7 和其它版本不一样),所以只好重新安装过了,安装都很顺利,现在将我的环境设置放上来供大家参考:
User specific aliases and functions                                            
  12 ####################### Cadence SPB166 environment###############               
  13 export CDS_AUTO_64BI= ALL                                                        
  14 export CDS_INST_DIR=/home/jackye/application/cadence/spb166                     
  15 export CDS_LIC_FILE=$CDS_INST_DIR/share/license/license.dat                     
  16 export CDS_DIR=$CDS_INST_DIR                                                     
  17 export CONCEPT_INST_DIR=$CDS_DIR                                                
  18 export CDS_SITE=$CDS_DIR/share/local/                                            
  19 export LD_LIBRARY_PATH=$LD_LIBRARY_PATHCONCEPT_INST_DIR/tools/lib               
  20 export CDSDOC_PROJECT=/CDS_INST_DIR/doc                                          
  21 export PATH=$PATHCDS_INST_DIR/tools/jre/binCONCEPT_INST_DIR/tools/binCONCEPT_INST_DIR/tools/pcb/bin:$CONCEPT_INST_DIR/tools/fet/bin
  22 #####################CADENCE IC615 and MMSIM12###############################   
  23 export OA_UNSUPPORTED_PLAT=linux_rhel40_gcc44x                                   
  24 export OA_HOME=/home/jackye/application/cadence/ic615/oa_v22.41.029              
  25 export CDS_ROOT=/home/jackye/application/cadence/ic615                           
  26 export MMSIM_ROOT=/home/jackye/application/cadence/ic615/MMSIM121               
  27 export CDSDIR=/home/jackye/application/cadence/ic615                             
  28 export CDSHOME=/home/jackye/application/cadence/ic615                           
  29 export CDS_ROOT=/home/jackye/application/cadence/ic615                           
  30 export CDS_INST_DIR=/home/jackye/application/cadence/ic615                       
  31 export CDS_LIC_FILE=/home/jackye/application/cadence/ic615/share/license/license.dat
  32 export CDS_Netlisting_Mode=Analog                                                
  33 export PATH=$CDS_INST_DIR/tools/bin:$PATH                                       
  34 export PATH=$CDS_INST_DIR/tools/dfII/bin:$PATH                                   
  35 export PATH=$CDS_INST_DIR/tools/plot/bin:$PATH                                   
  36 export PATH=$CDS_INST_DIR/tools/dracula/bin:$PATH                                
  37 export PATH=$CDS_ROOT/tools/bin:$PATH                                            
  38 export PATH=$CDS_ROOT/tools/dfII/bin:$PATH                                       
  39 export PATH=${CDS_ROOT}/tools/dracula/bin:$PATH                                 
  40 export PATH=${CDS_ROOT}/tools/plot/bin:$PATH                                    
  41 export PATH=${CDS_ROOT}/tools/iccraft/bin:$PATH                                 
  42 export PATH=${MMSIM_ROOT}/tools/bin:$PATH                                       
  43 export PATH=${MMSIM_ROOT}/tools/dfII/bin:$PATH                                   
  44 export PATH=${MMSIM_ROOT}/tools/spectre/bin:$PATH                                
  45 export PATH=${MMSIM_ROOT}/tools/ultrasim/bin:$PATH                              
  46 export LM_LICENSE_FILE=/home/jackye/application/cadence/ic615/MMSIM121/share/license/license.dat
  47 export CDS_AUTO_32BIT ALL                                                        
  48 ############################xilinx 14.6seting###########################################
alias iseli='source /home/jackye/application/xilinx/14.6/ISE_DS/settings64.sh'   
  50 export PATH=$PATH:/home/jackye/application/mentorgraphics/modelsim10.1c/modeltech/bin:/home/jackye/application/mentorgraphics/modelsim10.1c/modeltech/linux_rh60/
  51 ############################synopysys design compiler 2013 environment################################################
  52 alias dcli='/home/jackye/application/synopsys/scl115/amd64/bin/lmgrd  -c /home/jackye/application/synopsys/scl115/admin/license/synopsys.dat -l ~/syn_lic.log'
  53 alias dclm='/home/jackye/application/synopsys/scl115/amd64/bin/lmdown  -c /home/jackye/application/synopsys/scl115/admin/license/synopsys.dat'
  54 alias dclt='/home/jackye/application/synopsys/scl115/amd64/bin/sssverify  -c /home/jackye/application/synopsys/scl115/admin/license/synopsys.dat'
  55 ###########################sysnopsys system path manage ##################
  56 export SYNOPSYS=/home/jackye/workdisk/application/synopsys/dc2013               
  57 export SNPSLMD_LICENSE_FILE=27000@localhost.localdomain                          
  58 export LM_LICENSE_FILE=/home/jackye/application/synopsys/scl115/admin/license/synopsys.dat:/home/jackye/application/mentorgraphics/modelsim10.1c/license/LICENSE.TXT
  59 export PATH=/home/jackye/application/synopsys/dc2013/bin:$PATH                  
  60 ############################synopsys design compiler quikly  key#################
  61 alias dv="design_vision"                                                         
  62 alias dc="dc_shell"                                                              
  63 ############################synopsys vcs environment################
  64 export VCS_HOME=/home/jackye/application/synopsys/vcs2013                        
  65 export PATH=/home/jackye/application/synopsys/vcs2013/bin:$PATH                  
  66 ############################synopsys vcs quikly key##################
  67 alias vcs="vcs"                                                                  
  68 alias dve="dve"                                                                 
  69 ############################synopsys prime time environment###########
  70 export PT_HOME=/home/jackye/application/synopsys/pt2012                          
  71 export PATH=/home/jackye/application/synopsys/pt2012/bin:$PATH                  
  72 ############################synopsys primetime quikly key#############
  73 alias pt="primetime"                                                            
  74 ###########################synopsys hspice environment###############
  75 export HSP_HOME=/home/jackye/application/synopsys/hsp2013                        
  76 export PATH=/home/jackye/application/synopsys/hsp2013/hspice/amd64:$PATH         
  77 ############################synopsys hspice quikly key################
  78 alias hs="hspice"        

在期间我还安装了搜狗输入法 skype wps  qcad VM 10.03 等都能跑起来,截图几个图
  

modesim 10.1c

modesim 10.1c
           

allegro16.6

allegro16.6

design_hdl

design_hdl
                           

projm

projm
  

dc2013

dc2013
   

vcs2013

vcs2013
      
Screenshot from 2014-10-08 11:03:55.png   
Screenshot from 2014-10-08 11:04:17.png
Screenshot from 2014-10-08 11:04:52.png
Screenshot from 2014-10-08 11:05:21.png
Screenshot from 2014-10-08 11:06:17.png
Screenshot from 2014-10-08 11:10:43.png
Screenshot from 2014-10-08 11:11:40.png
发表于 2014-10-10 21:08:26 | 显示全部楼层
楼主有破解文件么
 楼主| 发表于 2014-10-11 22:08:39 | 显示全部楼层
回复 2# ubuntu1110

破解文件都是在本论坛找到的,搜索相关破解就行了
发表于 2014-10-11 22:19:57 | 显示全部楼层
学习啦!!!!!!!!!!
发表于 2014-10-12 07:59:53 | 显示全部楼层
收下备用
发表于 2014-10-12 09:51:05 | 显示全部楼层
不错啊,以后我也换centos7
发表于 2014-10-12 11:11:56 | 显示全部楼层
回复 1# jackyezhang


    楼主有上面这些软件下载文件与破解吗?求云盘分享
发表于 2014-10-12 12:34:01 | 显示全部楼层
回复 1# jackyezhang

很漂亮啊!老大是啥机器哟,您的搜狗输入法是用WINE装的吗?
我在CENTOS7下没有用起来。老大装了什么特别的库没有?
多谢多谢!!
发表于 2014-10-13 18:00:20 | 显示全部楼层
 楼主| 发表于 2014-10-13 21:29:07 | 显示全部楼层
回复 8# YULONGUTK

没有用wine,是RPM 包装的,一个没有问题,缺库你安装就行了,关键要先安epel源
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:39 , Processed in 0.042282 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表