在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 77478|回复: 209

[解决] INCISIV 13.10.001 安装文件和crack破解(NCsim13.1,IES13.1 , NC-verilog13.1)

[复制链接]
发表于 2014-9-25 15:25:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 caoshangfei 于 2014-9-25 19:27 编辑

Cadence推出新版本Incisive Enterprise Simulator(IES13.1),该版本将复杂SoC的低功耗验证效率提高了30%。13.1版的Cadence Incisive Enterprise Simulator致力于解决低功耗验证的问题,包括高级建模、调试、功率格式支持,并且为当今最复杂的SoC提供了更快的验证方式。

INCISIV_13.10.001 (NCsim13.1,IES13.1 , NC-verilog13.1)安装和破解步骤:
INCISIV13安装破解步骤.doc (282 KB, 下载次数: 2932 )

license.zip
http://pan.baidu.com/share/link?shareid=408797548&uk=2969622336
installscape.tar.bz2
http://pan.baidu.com/share/link?shareid=362690443&uk=2969622336
installscape.tar.bz2.gz
http://pan.baidu.com/share/link?shareid=365091931&uk=2969622336
INCISIV_13.10.zip( zip1)
http://pan.baidu.com/share/link?shareid=376166281&uk=2969622336
INCISIV_13.10.z01( zip2)
http://pan.baidu.com/share/link?shareid=1309911395&uk=2969622336
INCISIV_13.10.z02( zip3)
http://pan.baidu.com/share/link?shareid=1319134176&uk=2969622336


Install and Crack step:

1. 首先确认安装文件的目录下是否有INCISIV_13.10.001licenseinstallscapte.tar.bz2.gz(bunzip+bunzip2两次解压)

2. installscapte.tar.bz2.gz解压到当前目录,解压后运行installer/iscape/bin/iscape.sh,会弹出安装的图形界面


3. 点击图标,选择安装源文件的路径,路径在与licenseinstallscapte.tar.bz2.gz平行的INCISIV_13.10.001

4. 选择安装路径,file:///C:/DOCUME~1/ADMINI~1/LOCALS~1/Temp/msohtmlclip1/01/clip_image004.gif 上所有可以file:///C:/DOCUME~1/ADMINI~1/LOCALS~1/Temp/msohtmlclip1/01/clip_image005.gif 的选项, 然后点击对话框左下角的start

5. 安装完成之后会进行配置,根据提示一路回车或者输入y再回车即可,如果碰到license相关的按y无法通过,则按n 配置过程中会有提示安装arm FAST MODEL cancel

6. 配置完成后,将源文件目录下的licence/cds-patch/ 下所有的文件都拷贝到软件所安装到的根目录下

7. 进入到软件所安装到的目录下,运行./patch_cadence2012运行过程中不用理会任何的errorwarning

8. 结束之后,lic文件在license/cds-flexlm/cadence.dat  打开之,


修改第一行

SERVER localhost.localdomain ANYport=26000   将蓝色部分改为用户名和机器名

修改第二行

DAEMON cdslmd /home/cadence-tools/cadence/license/cds-flexlm/cdslmd将蓝色部分改为安装源文件下license文件夹所在的路径


9. 配置.tcshrc文件, 复制之前的ius920的配置,将LM_LICENSE_FILE指向新之前所提到的cadence.dat。重新source .tcshrc即可

发表于 2014-9-27 23:00:01 | 显示全部楼层
楼主,你好!感谢你分享!

请问是通过这个选项配置吗? 配置的时候失败了

请问是通过这个选项配置吗? 配置的时候失败了
发表于 2014-9-27 23:36:22 | 显示全部楼层
谢谢楼主分享!
小弟在这谢了,不过安装的时候出现了一些问题,无法解决,不知道楼主遇到没有,可否知道!
捕获2.PNG
无标题.png
发表于 2014-9-27 23:37:34 | 显示全部楼层
发表于 2014-9-27 23:39:36 | 显示全部楼层
     不太懂怎样插入附件
发表于 2014-9-27 23:43:22 | 显示全部楼层
不知道怎样传附件

incisiv 13.10安装问题.xls

942.5 KB, 下载次数: 368 , 下载积分: 资产 -2 信元, 下载支出 2 信元

incisiv安装问题

 楼主| 发表于 2014-9-28 09:36:26 | 显示全部楼层
安装破解步骤的doc文件有有详细步骤和说明,安装那个走就可以了,不需要你配置什么东东
发表于 2014-9-28 19:51:12 | 显示全部楼层
谢谢分享,好好学习下。
发表于 2014-9-29 22:45:32 | 显示全部楼层
好好来学习前辈的成果
发表于 2014-9-30 00:28:52 | 显示全部楼层
好好学习
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 22:34 , Processed in 0.034912 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表