在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 22851|回复: 50

[求助] e指数和对数的verilog实现

[复制链接]
发表于 2014-8-6 23:04:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我是初学者,刚接触图像处理的硬件实现,现在对e指数和对数的verilog实现不了解,要求不是泰勒展开和查表,求大神帮助我,最好是有verilog源代码。好人一生平安啊~~~
 楼主| 发表于 2014-8-6 23:05:56 | 显示全部楼层
这是我的邮箱 996074001@qq.com  感觉到很无助,做图像硬件的信心都没有了
发表于 2014-8-7 09:18:50 | 显示全部楼层
最简单的方法是做一个查找表,把输入和输出的数值都放到ram中
 楼主| 发表于 2014-8-7 10:03:39 | 显示全部楼层
回复 3# haitaox


   那如果数据量比较大怎么办  1000个输入和输出算多的么?
发表于 2014-8-7 10:32:20 | 显示全部楼层
数据量大的话,就需要自己写公式啦。上网查查吧,我也没有做过你说的这些。
发表于 2014-8-7 10:39:39 | 显示全部楼层
找paper,这个东西已经相当成熟了
发表于 2014-8-7 10:50:04 | 显示全部楼层
有近似的转换方法的,找找数值分析方面的资料。
发表于 2015-10-9 09:51:16 | 显示全部楼层
回复 1# zhangbinbin92
我目前也遇到类似的问题,经过查询可以cordic算法来实现这个功能,但具体实现仍然没调试出来··希望一起解决这个问题

基于FPGA的自然对数变换器的设计与实现.pdf

1.87 MB, 下载次数: 616 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2015-10-9 12:50:07 | 显示全部楼层
8楼cordic正解,多查查资料吧,cordic很强大的
发表于 2015-10-9 14:06:11 | 显示全部楼层
cordic确实很强大
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 16:16 , Processed in 0.031006 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表