在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: lbz053273

[求助] 有前辈高手来解答写么~~万分感谢

[复制链接]
 楼主| 发表于 2014-6-17 09:04:57 | 显示全部楼层
回复 10# vongy

对对,这就是我想表达的,蛋疼的我这方面不懂,自己搭不出来,能教教我么。。。说下方法之类的
发表于 2014-7-2 22:58:05 | 显示全部楼层
本帖最后由 quantumdot 于 2014-7-3 21:26 编辑

回复 7# lbz053273




  1. module div3(clk,rst,clk3);
  2.   input clk,rst;
  3.   output clk3;
  4.   
  5.   wire[1:0]q;
  6.   
  7.   DFF a0(clk,q[0],q[1],rst),
  8.       a1(clk,net1,q[0],rst),
  9.       a4(clkn,q[1],net2,rst);
  10.    xnor a2(net1,q[0],q[1]);
  11.    not a3(clkn,clk);
  12.    or a5(clk3,net2,q[1]);
  13. endmodule


  14. module DFF(clk,D,Q,rst);
  15.   input clk,D,rst;
  16.   output Q;
  17.   reg Q;
  18.   
  19.   always@(posedge clk or posedge rst)
  20.   begin
  21.     if(rst)
  22.       Q<=0;
  23.     else
  24.       Q<=D;
  25.     end

  26. endmodule


复制代码





  1. module behavior(clk,rst,clk3);
  2. input clk,rst;
  3. output clk3;
  4. reg[1:0]q;
  5. reg temp;

  6. assign clk3=q[1]||temp;

  7. always@(posedge clk or posedge rst)
  8. begin
  9.         if(rst)
  10.         q<=2'b00;
  11.         else if(q>2'b01)
  12.         q<=2'b00;
  13.         else
  14.         q<=q+1'b1;
  15. end

  16. always@(negedge clk or posedge rst)
  17. begin
  18.         if(rst)temp<=0;
  19.         else temp<=q[0];
  20. end

  21. endmodule


复制代码



连接图

连接图


3分频,占空比50%
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 09:49 , Processed in 0.017388 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表