在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2334|回复: 0

[求助] cadence import .v 文件的问题

[复制链接]
发表于 2014-1-11 12:15:43 | 显示全部楼层 |阅读模式
200资产
本帖最后由 teaburg 于 2014-1-11 12:25 编辑

问题是这样的:
   我将一个 .v模块拆分成四个,其中顶层为 Intro_Top.v
调用的子模块为  AndOr.v ,SR.v 和XorNor.v
  
AndOr InputCombo01  (.X(ab), .Y(bc), .A(A), .B(B), .C(C));
      SR SRLatch01     (.Q(q), .Qn(qn), .S(bc), .R(D));
  XorNor OutputCombo01 (.X(X), .Y(Y), .A(ab), .B(q), .C(qn));

我在CIW窗口将四个verilog模块一起import 进一个Library
Import.png

进入verilogIN窗口,将四个.v文件全部Import
verilog IN.png
没有报错,四个文件全部出现早Library中,有functional和symbol
Import后.png

然后新建一个schematic,插入symbol Intro_Top]

QQ截图20140111122656.png
选中模块,按下快捷键e ,弹出的是symbol而不是子模块
弹出了symbol.png
我认为,为了更方便的使用verilog,输入顶层以及全部子模块时,应该是可以查看已经连接好的子模块的,像这样
顶层
nomal.png

子模块
nomal_sub.png

子模块的子模块
nomal_subsub.png

子模块的门级电路

bottom.png

求高手解答,悬赏200

schematic_test.png
schematic_test.png
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 17:34 , Processed in 0.025130 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表