在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: caoshangfei

[资料] Cadence NCverilog SimVision User Guide教程

[复制链接]
发表于 2014-9-16 12:01:44 | 显示全部楼层
发表于 2014-9-16 12:07:43 | 显示全部楼层
发表于 2014-9-16 20:15:08 | 显示全部楼层
i  have to look 一下
发表于 2014-9-17 19:49:25 | 显示全部楼层
谢谢分享,好好学习下。
发表于 2014-9-21 22:51:35 | 显示全部楼层
仿真原语出现了问题,大家有遇到过么?
发表于 2014-9-22 10:04:40 | 显示全部楼层
頂一下!感謝大大無私分享
发表于 2014-9-26 16:11:58 | 显示全部楼层
谢谢分享
发表于 2014-10-1 18:39:24 | 显示全部楼层
good data !!!
发表于 2014-10-6 15:41:33 | 显示全部楼层
谢谢分享
发表于 2014-10-9 15:57:47 | 显示全部楼层
感謝 LZ 的無私,
很需要,謝謝!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 00:44 , Processed in 0.026815 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表