在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: logue

[转贴] 转一篇Systemverilog的一个牛人总结

[复制链接]
发表于 2015-2-6 00:57:44 | 显示全部楼层
很好的资料
发表于 2015-3-12 16:56:54 | 显示全部楼层
bucuo
发表于 2015-3-14 16:44:39 | 显示全部楼层
很不错的资料,谢谢分享
发表于 2015-3-25 23:32:43 | 显示全部楼层
很不错的资料,谢谢分享
发表于 2015-3-26 11:00:10 | 显示全部楼层
正要准备学习,,好东西
发表于 2015-3-27 13:05:30 | 显示全部楼层
ganxie ,,,,,,,,,,,...................
发表于 2015-3-28 07:29:01 | 显示全部楼层
学习了
发表于 2015-4-30 10:59:24 | 显示全部楼层
回复 1# logue


    前辈您好,我在用verilog导入到cadence时提示如下错误,但是我在.v文件中没发现错误,请您帮忙看一下是什么问题。/home/dianke/ic5141/design/sar_logic.v
assign start   =!en_! & en_reg;
                    |
ncvlog: *E,EXPSMC (/home/dianke/ic5141/design/sar_logic.v,28|20): expecting a semicolon (';') [6.1(IEEE)].
                 begin
                     |
ncvlog: *E,CSISYX (/home/dianke/ic5141/design/sar_logic.v,110|21): case item syntax error [9.5(IEEE)].
                default:
                      |
ncvlog: *E,NOTSTT (/home/dianke/ic5141/design/sar_logic.v,114|22): expecting a statement [9(IEEE)].
               endcase
                     |
ncvlog: *E,NOTSTT (/home/dianke/ic5141/design/sar_logic.v,119|21): expecting a statement [9(IEEE)].

module __nclib.sar_logic:module

errors: 4, warnings: 0
发表于 2015-4-30 17:57:13 | 显示全部楼层
学习了。
写的不错。
发表于 2015-4-30 18:23:58 | 显示全部楼层
不错,顶一个
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 02:13 , Processed in 0.038354 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表