在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9546|回复: 17

[解决] 布图布线前 pt生成的sdf文件无法正确sign_off的问题

[复制链接]
发表于 2013-4-1 16:08:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 flyingsheep 于 2013-4-3 14:53 编辑

分别用dc和pt生成sdf文件(时序约束等都相同),对照生成的sdf内容也基本相同,但是分别用vcs读入网表+sdf+设计库进行动态仿真,结果是dc生成的sdf正确反标,波形仿真正确(period=5ns),而pt生成的sdf却没有反标上 QQ截图20130403094127.png
QQ截图20130403094432.png
QQ截图20130403094456.png
QQ截图20130403094541.png

可以看到dc生成的sdf成功反标(仿真结果跟sdf文件内容一样),而pt生成没有成功反标,仿真结果为默认值(1ns)的单元延时,而sdf中为0.324ns,,导致仿真周期要达到20ns左右才能仿出正确波形

testbench里面添加sdf文件分别用了initial $sdf_annotate("./bchDec_Top.sdf",bchDec_Top);和initial $sdf_annotate("./bchDec_Top_pt.sdf",bchDec_Top);这两条语句,只是添加的文件不同,没什么差别

请各位大神指点迷津,下面是dc的sdf和pt的sdf文件头,有什么问题吗

QQ截图20130403100142.png

QQ截图20130403100207.png
发表于 2013-4-2 22:42:55 | 显示全部楼层
PT对于设计工程师有多大用?
 楼主| 发表于 2013-4-2 23:20:20 | 显示全部楼层
回复 2# hbyu


   pt可能相当于fpga里面的时序分析吧
发表于 2013-4-3 06:45:41 | 显示全部楼层
setup或者hold都可能为负,但加起来应该为正,这不是错。  pr前的东西本来就不准,不要纠结啦。等pr后再后仿加sta
发表于 2013-4-3 10:42:07 | 显示全部楼层
$sdf_annotate("./bchDec_Top_pt.sdf",bchDec_Top,, "sdf.log", "MAXIMUM");

vcs读取sdf文件时会生成log,你把信息输出到"sdf.log",看看有什么问题吧。

http://heehiee.codns.com:9000/060611/0_%C0%FC%C0%DA%C0%DA%B7%E11_3%2817G%29/0_%C8%B8%B7%CE%B5%B5%20%C3%D1%20%B8%F0%C0%BD/ASIC/MANUAL/CADENCE/LDV3.2/SDF%20Annotator%20Guide.pdf

http://wenku.baidu.com/view/f92b9d0f4a7302768e9939db.html

链接里面是$sdf_annotate命令的资料。
 楼主| 发表于 2013-4-3 10:57:05 | 显示全部楼层
回复 5# snq31418

谢谢 但是看log里面 sdf应该是正确添加的 initial $sdf_annotate("./bchDec_Top_pt.sdf",bchDec_Top,,"sdf_log");

   ***   $sdf_annotate() version 1.2R
   ***    SDF file: "./bchDec_Top_pt.sdf"
   ***    Annotation scope: test_bchDec_Top.i1
   ***    SDF Annotator log file: "sdf_log"
   ***    No MTM selection argument specified
   ***    No SCALE FACTORS argument specified
   ***    No SCALE TYPE argument specified
   ***    MTM selection defaulted to "TOOL_CONTROL":
               (+typdelays compiled, TYPICAL delays selected)
   ***    SCALE FACTORS defaulted to "1.0:1.0:1.0":
   ***    SCALE TYPE defaulted to: "FROM_MTM"
   ***    Turnoff delay: "FROM_FILE"
   ***    Approximation (mipd) policy: "MAXIMUM"

   ***    SDF annotation begin: Wed Apr  3 11:01:45 2013


SDF Info: +pulse_r/100, +pulse_e/100 in effect

          Total errors: 0
          Total warnings: 0
   ***    SDF annotation completed: Wed Apr  3 11:01:45 2013
 楼主| 发表于 2013-4-3 14:53:04 | 显示全部楼层
回复 5# snq31418


多谢  problem solved!
发表于 2013-4-3 15:51:35 | 显示全部楼层
回复 7# flyingsheep


    怎么解决的??
 楼主| 发表于 2013-4-3 17:03:33 | 显示全部楼层
回复 8# snq31418

我用pt生成的sdf文件里面的延时信息IOPATH A Y (0.463::0.463) (0.257::0.257)分别对应(mindelays:typdelays:maxdelays)中间的typdelays(typical)没有,但是用vcs的时候默认sign_off的数据好像就是中间的typdelays,所以只能加载默认值1ns,所以我vcs命令里面加了一个+maxdelays(或者mindelays),选择sign_off右边的或者是左边的,不选择中间的,问题就解决了,但是好像pt生成的时候也可以生成中间的typdelays 但是我不知道怎么用
还是多谢了你的那个文档
 楼主| 发表于 2013-4-3 17:27:17 | 显示全部楼层
回复 4# zzczx


pr是place&route吧  apr是不是auto place&route呢 place&route都用什么工具呢  
看别人的文档 印象里有用encounter的 icc的 还有astro的  小弟还没摸索到后端  说错了莫怪啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 07:01 , Processed in 0.043571 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表