在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4817|回复: 3

[活动] 【Zynq征文】使用ZYNQ 的PS与PL进行交互

[复制链接]
发表于 2013-2-28 10:58:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
ZYNQ中包含了两个部分,双核的armFPGA。根据XILINX提供的手册,arm模块被称为PS,而FPGA模块被称为PL。这有点像xilinx以前推出的powerPC+FPGA平台。下图为官方文档中介绍的ZYNQ内部结构。  




                               
登录/注册后可看大图



        从图中可以看到,ZYNQ的绝大多数外设都是PL逻辑部分相连,比如说GPIO,IIS,XADC等等,所以如果我们要使用这些外设的话必须在PL逻辑部分对其进行配置。OK,下面我们就以一个简单的例子来看看如何使用PL和PS进行交互。在下面的例子中,我们通过设置8个开关来对应点亮8个LED灯。
        首先打开XPS,由于XILINX内部已经帮我们做好了GPIO部分的IP核,所以我们只需要直接使用即可(如果要用自己的ip核,则可以使用ISE先把IP核写好再导入进来)。新建立一个工程,选择“Create New Project Using Base System Builder”,

                               
登录/注册后可看大图


填好项目工程文件的路径,其它不需要更改,直接点OK,出现如下界面:

                               
登录/注册后可看大图


由于我们用的就是ZEDBOARD,所以在这里我们选择的是ZYNQ开发板,直接点击NEXT,

                               
登录/注册后可看大图

     该界面表示当前工程里已经默认把GPIO和4位的led包含进去了,由于我们这里和光放的ZYNQ开发板还有点差别,所以就不需要这些默认设置了,直接选中点击REMOVE,最后点击FINISH完成工程的建立。

                               
登录/注册后可看大图

      双击图中所示的AXI General Purpose IO,添加该IP核到ZYNQ中,注意在Width中选择8,表示当前的GPIO的宽度为8,当然如果你需要更宽也可以进行其它设置,但最多不能超过32位。我们这里的SW开关和LED都为8个,所以我们把宽度设置为8.一路点击OK按钮,把该IP核加入到工程中。

                               
登录/注册后可看大图

     由于我们有SW开关和LED两个外设并且一个为输入一个为输出,所以还需要按照同样的方法再添加一个GPIO核。完成添加后看右边的BUS INTERFACES,可以看到GPIO 0和GPIO1均添加到了系统中。

                               
登录/注册后可看大图

    点击PORT栏,进入到端口设置部分,在这里我们把一个GPIO设置为输出,它与8个LED灯相连,另外一个设置为输入,它与8个SW开关相连。完成设置后的结果如下图所示


                               
登录/注册后可看大图


面就是编辑约束文件,给定义的端口分配管脚了,


                               
登录/注册后可看大图


   双击project栏中的UCF,打开约束编辑(在这里有个问题,难道ISE14.2中没有专门的约束编辑器吗?),输入以下内容


                               
登录/注册后可看大图

     上面表示8个LED等,下面表示8个SW按键,注意,在DS中,8个SW按键是连接到VCC_ADJ上的,所以我们这里使用LVCMOS18电平标准。完成这些设置后,依次点击Generate BitStream和Export Design按钮,完成流配置文件的生成和导出到SDK中。


                               
登录/注册后可看大图


      上面就是PL部分的配置了,下面我们就根据PL的配置来进行PS部分的编程。打开SDK工具,新建工程(具体如何新建可以参考ZedBoard_CTT_v14.1文档,该文档在ZEDBOARD.org网站上有下载)后更改其中的main函数为以下形式

#include

#include"xparameters.h"

#include"xil_types.h"

#include"xstatus.h"

#include"xil_testmem.h"

#include"xgpio.h"

#include"platform.h"


voidprint(char*ptr);


intmain()

{

     staticXGpioLED_Ptr0,LED_Ptr1;//定义GPIO指针

     intXStatus;//函数返回状态

     intread;

     init_platform();


     print("ZedBoard LAB3: PS_AXI_LDs\n\r");

     print("超群天晴2012年10月7日22:12:31\n\r");


     XStatus = XGpio_Initialize(&LED_Ptr0,XPAR_AXI_GPIO_0_DEVICE_ID);

     if(XST_SUCCESS != XStatus)

         print("GPIO INIT FAILED\n\r");

     XGpio_SetDataDirection(&LED_Ptr0, 1,0x00);//GPIO0,通道1;设置方向0 输出1输入

     XGpio_DiscreteWrite(&LED_Ptr0, 1,0xFF);//设置输入1,即把所有LED灯点亮




     XStatus = XGpio_Initialize(&LED_Ptr1,XPAR_AXI_GPIO_1_DEVICE_ID);

          if(XST_SUCCESS != XStatus)

              print("GPIO INIT FAILED\n\r");

     XGpio_SetDataDirection(&LED_Ptr1, 1,0xFF);//GPIO1,通道1;设置方向0 输出1输入


     print("INIT OK!!! \n\r");


    while(1)

     {

       read=XGpio_DiscreteRead(&LED_Ptr1,1);  //读取sw按键的输入

       if(read&0x80)                      //如果SW7按下,则LED7点亮,否则熄灭

           XGpio_DiscreteWrite(&LED_Ptr0, 1, XGpio_DiscreteRead(&LED_Ptr0,1)|0x80);

       else

           XGpio_DiscreteWrite(&LED_Ptr0, 1,XGpio_DiscreteRead(&LED_Ptr0,1)&0x7F);



        if(read&0x40)                      //如果SW6按下,则LED6点亮,否则熄灭

           XGpio_DiscreteWrite(&LED_Ptr0, 1, XGpio_DiscreteRead(&LED_Ptr0,1)|0x40);

       else

           XGpio_DiscreteWrite(&LED_Ptr0, 1,XGpio_DiscreteRead(&LED_Ptr0,1)&0xBF);


        .........  //此处需要自己按上面格式完成

     }



     cleanup_platform();


}


点击编译,成功生成ELF文件后即可进行下载,当然在下载ELF文件前还要先把FPGA的配置下载进去。

发表于 2016-6-12 09:56:30 | 显示全部楼层
不错,写的很详细
发表于 2016-6-12 09:59:19 | 显示全部楼层
非常好。
发表于 2016-6-14 16:14:15 | 显示全部楼层
公司看不了回家好好看看
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 03:49 , Processed in 0.022962 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表