在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6434|回复: 3

[解决] Modelsim SE 10.0d (vish-4101)Modelsim No objects found matching‘*’的解决

[复制链接]
发表于 2012-10-28 11:55:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本人正在学习verilog,写了一个简单的gcd的程序,然后用Modelsim进行仿真。compile all 通过以后,add wave时却出现了问题:(vish-4101)Modelsim No objects found matching ‘test_gcd32/*’
导致虽然能够simulate,但是无法显示波形。于是果断google之,一般有两种解决方法,如下:
1.先把modelsim.ini的属性改为可写,然后设置modelsim.ini 中的VoptFlow = 0。
2.点击工具栏中的“simulate”按钮,或用菜单中的命令“simualte->start simulation”调出start smulation窗口,关键是把窗口中最下边optimization栏中的Enable optimization项目前的钩钩去掉,然后选择仿真的文件,点击OK,就一切正常了,能看到objects了。

上面两种方法都是将optimization选项关闭,但是我觉得既然软件中设置了optimization,肯定是有他的道理的(虽然我不知道是什么),于是仔细查看代码,严格按照Verilog编程的要求写代码,最后发现将Test Bench中的

gcd32  u1(START,RESET,CLK,OPA,OPB,DONE,RESULT);  写成完整的

gcd32  u1(.START(START),.RESET(RESET),.CLK(CLK),.OPA(OPA),.OPB(OPB),.DONE(DONE),.RESULT(RESULT));

这样就没有上面的问题出现了,不知道是为什么?也只能给自己说说以后要养成良好的编写代码的风格了!
发表于 2013-8-24 20:41:27 | 显示全部楼层
上面写是什么哦 看不懂
发表于 2016-7-14 09:07:23 | 显示全部楼层
我也遇到了。谢谢楼主
发表于 2016-7-14 16:43:57 | 显示全部楼层
隐式声明确实很容易出错的。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 12:45 , Processed in 0.021822 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表