在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 19971|回复: 7

[求助] ncverilog后仿反标sdf?

[复制链接]
发表于 2012-7-19 10:37:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
已经用DC生成了网表和sdf文件,想在后仿的时候把sdf文件加进来观察延时,求问板上各位大牛,用什么命令可以在ncverilog后仿时反标sdf文件?我在网上查到有人在testbench里加入$sdf_annotate,小弟不知道这个命令怎么用。求助。。。
发表于 2012-7-19 12:11:51 | 显示全部楼层
本帖最后由 my2817 于 2012-7-19 12:13 编辑

IUS的doc目录,\doc\ncvlog\ncvlog.pdf, 看 SDF Timing Annotation,好运
简单点就是在 test bench中加入下面的语句




  1. initial
  2.         begin
  3.              $sdf_annotate("file.sdf",,,"file.log","MAXIMUM");        end



复制代码
 楼主| 发表于 2012-7-19 13:22:22 | 显示全部楼层
本帖最后由 zqszjmzy 于 2012-7-19 13:49 编辑

回复 2# my2817
我按照您所说的,在testbench里加上了$sdf_annotate("Multiply.sdf",tb_mult_compare.lm,,,"MAXIMUM");
但是运行ncverilog时遇到了这个warning:
ncelab: *W,SDFNEP: Failed Attempt to annotate to non-existent path (IOPATH (posedge A) Y) of instance tb_mult_compare.lm.mult_8.U111 of module XOR2X4 <./Multiply.sdf, line 1190>.
但是sdf文件1190行之前的可以反标啊。
这是怎么回事?

注:sdf1190行是这句:(IOPATH (posedge A) Y (0.112:0.114:0.114) (0.114:0.115:0.115))        我怀疑是不是跟posedge有关。我看了一下log文件,所有的warning 都含有negedge 或者posedge。
发表于 2012-7-19 14:16:45 | 显示全部楼层
回复 3# zqszjmzy


    你反标后,编译成功没有,还有运行成功没?如果都成功了,就是不是语法的问题,而是你的时序存在问题
 楼主| 发表于 2012-7-19 14:31:26 | 显示全部楼层
回复 4# SKILLER
成功运行了,能看到波形。这说明是时序问题?
发表于 2012-7-19 22:23:56 | 显示全部楼层
回复 5# zqszjmzy


    那应该就是了
   既然有波形,说明运行成功了
   你可以根据各级时钟是否有小的延时差别判断是否反标成功
   然后,修改一下激励的延迟大小,看是否能满足时序,消除掉你的error
    应该是上升沿的建立/保持时间达不到要求
发表于 2018-4-16 01:22:37 | 显示全部楼层
楼主解决了吗我也遇到这个问题了,求解
发表于 2018-5-18 23:07:12 | 显示全部楼层
SDF 有 format 的不同, ex: V2.1, V3.0 語法略有差異. 看你的 cell Verilog model 是否與 SDF 同一 format.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:47 , Processed in 0.026460 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表