在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: zhangguo1286

[原创] vim auto script for verilog & RtlTree - (like Emacs, Verdi)

[复制链接]
发表于 2015-11-20 08:50:12 | 显示全部楼层
已下载,试用一下
发表于 2015-11-20 10:57:38 | 显示全部楼层
回复 1# zhangguo1286
謝謝分享,真是太實用了。
发表于 2015-12-7 20:00:23 | 显示全部楼层
太好了,正需要
 楼主| 发表于 2016-2-27 17:17:04 | 显示全部楼层
本帖最后由 zhangguo1286 于 2016-2-27 17:57 编辑

时间太久了,不让上传更新,现放入百度网盘。
http://pan.baidu.com/s/1sk3ZPW5



Date: 2016-02-27 upload.

Description:
    For write verilog code more automatic.
    Like Emacs for Verilog HDL.
 楼主| 发表于 2016-2-27 18:00:34 | 显示全部楼层
回复 1# zhangguo1286


    更新:2016_02_27

http://pan.baidu.com/s/1i3OsIrj
发表于 2016-4-7 11:47:08 | 显示全部楼层
谢谢分享!!
发表于 2016-5-12 13:51:13 | 显示全部楼层
使用一下,挺好用的,多谢。
发表于 2016-7-13 19:49:14 | 显示全部楼层
非常感谢楼主!
 楼主| 发表于 2016-8-24 09:32:29 | 显示全部楼层
Date: 2016-08-24 upload.

1. add Search menu, -- search instance / module / Trace Driver(Lite)
   -- for the Search & Trace, add backward & forward history feature like verdi
2. some function short-cut key modify

automatic_vim_2016_08_24.tar.gz

56.25 KB, 下载次数: 40 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2016-8-25 09:21:27 | 显示全部楼层
试用下吧.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 08:08 , Processed in 0.027536 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表