在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: zhalvin

[求助] FPGA的保持时间不为正的问题

[复制链接]
发表于 2012-5-4 14:45:12 | 显示全部楼层
FPGA 故意讓FF 的cell 的hold time<=0,可能是為簡化apr timing clousure演算法,因為只需optimize setup time issue,再加上以buffer修hold time會浪費FFGA resource.
发表于 2012-5-5 22:31:29 | 显示全部楼层
如果设计的时序电路要避免亚稳态,正常工作,要求在时钟对数据进行采样之前,数据是稳定不变,这样能确保采样到可信的数据;如果保持时间为某个确定的正值,就要进行分析,分析时钟周期和保持时间二者是否满足无亚稳态的条件,如果满足无亚稳态条件,此时保持时间为正对设计的电路无影响,如果不满足无亚稳态条件,就要进行时间约束条件的设计;在布局布线之前,假定保持时间为非正,即假定对任何时钟信号,数据总是先于时钟信号达到稳定态,时钟对数据采样没有任何问题,在布局布线之后再根据编译结果分析判定是否要添加时间约束条件,个人理解,仅供参考!
发表于 2014-7-17 19:47:35 | 显示全部楼层
学习到了。
发表于 2014-7-17 20:06:44 | 显示全部楼层
DFF的保持时间是负值,Clock Mesh的Skew很小(如<100ps),DFF的CK->Q延时较大(如>100ps),那样全局的Hold Time就天然Clean,不需要修了。
发表于 2014-11-1 15:57:44 | 显示全部楼层
飘过,学习~~~
发表于 2015-2-8 11:10:30 | 显示全部楼层
回复 6# ckzuan


    你的说法也有道理
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 22:16 , Processed in 0.025023 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表