在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3862|回复: 8

[求助] 请教一个tcl循环体的写法

[复制链接]
发表于 2012-2-16 10:26:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
现在又这样的脚本语句,有两个变量i,j
create_clock -period 200 -name dati_clkj....
这里i为外循环,范围为0--15
j为内循环,范围为0--7

该用怎样的tcl语法实现上面的循环体了?

想要实现后的语句如下:
create_clock -period 200 -name dat0_clk0
create_clock -period 200 -name dat0_clk1
。。。
create_clock -period 200 -name dat0_clk7

create_clock -period 200 -name dat1_clk0
create_clock -period 200 -name dat1_clk1
。。。
create_clock -period 200 -name dat1_clk7

。。。。。。。

create_clock -period 200 -name dat15_clk0
create_clock -period 200 -name dat15_clk1
。。。
create_clock -period 200 -name dat15_clk7
发表于 2012-2-16 17:16:51 | 显示全部楼层
for {set i 0} {$i<16} {incr i} {
    for {set j 0} {$j<8} {incr j} {
        create_clock -period 200 -name dat$i_clk$j
    }
}

太简单了版主都愿意回答你哦。
发表于 2012-2-17 09:49:07 | 显示全部楼层
不明白
发表于 2012-2-21 17:03:42 | 显示全部楼层
for {set i 0} {$i<16} {incr i}
        {
            for {set j 0} {$j<8} {incr j}
            {create_clock -period 200 -name dat$i_clk$j}
        }

=>
把格式換成這樣你應該更容易看懂
i為 外迴圈變數, j 為內迴圈變數, 內迴圈執行完執行外迴圈
因此這段程式執行時, 應該會產生以下結果

一開始i=0, j從0增加到7
create_clock -period 200 -name dat0_clk0
create_clock -period 200 -name dat0_clk1
create_clock -period 200 -name dat0_clk2
.
.
create_clock -period 200 -name dat0_clk7

下一個迴圈i=1, j從0增加到7
create_clock -period 200 -name dat1_clk0
create_clock -period 200 -name dat1_clk1
create_clock -period 200 -name dat1_clk2
.
.
create_clock -period 200 -name dat1_clk7

然後一直重複到i=7, j=7為止
发表于 2012-2-29 13:21:15 | 显示全部楼层
很好,
发表于 2012-3-9 15:58:45 | 显示全部楼层
感觉要把$i括起来吧,不然就变成$i_clk变量了
发表于 2012-3-17 12:55:35 | 显示全部楼层
楼上正确,不过不是加括号,加转义字符\,dat$i\_clk$j 就ok了
发表于 2014-11-16 23:12:48 | 显示全部楼层
学习了,不错。非常感谢
发表于 2014-12-25 10:36:13 | 显示全部楼层
dat$i\_clk$j or dat${i}_clk${j}
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 01:37 , Processed in 0.029994 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表