在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2807|回复: 8

[求助] modelsim后仿真

[复制链接]
发表于 2012-2-7 18:13:42 | 显示全部楼层 |阅读模式
100资产
小弟modelsim门级仿真遇到下列问题,急待解决,请高手帮帮忙:
    RTL仿真文件:encode8b_10b.v,top.v 仿真正确。
    综合后门级仿真文件:encode8b_10b_map.v, encode8b_10.sdf, top.v, smic18.v(工艺库)
    门级仿真时不加入sdf文件仿真正确,加入sdf文件时 Apply Region设置为/top/uut (uut是测试模块的实例化名),仿真出现Failed to find INSTANCE,看了网上的解决方法,还是不行,知道的帮忙解答下,谢谢!

发表于 2012-2-8 10:15:56 | 显示全部楼层
看有没有把这些v文件和sdf文件放到一个目录中去!
 楼主| 发表于 2012-2-8 10:57:19 | 显示全部楼层
回复 2# neoitachi


    sdf文件和V文件一起都在工程目录下,不加sdf文件直接仿真门级网表是正确的,一加入sdf文件就提示错误,关于怎么加入sdf文件我看了modelsim的manual,应该没有错,现在就是不能仿真,跟软件有关系吗?我用的是AMS2010
发表于 2012-2-8 15:14:57 | 显示全部楼层
去掉top,直接指向uut

或者命令行:
vsim -t ps -sdftyp /uut=./NetList/SDF.sdf -sdfnoerror +typdelays work.top -do wave.do
发表于 2012-2-16 15:47:41 | 显示全部楼层
图形化界面下设置:
      Apply Region设置为:tb(testbench名称)/uut (uut是测试模块的实例化名) 即可

一劳永逸的设置:
      在tb中添加initial $sdf_annotate("xx.sdf", tb.uut, , ,"MAXIMUM");
发表于 2012-2-16 17:17:30 | 显示全部楼层
把文件放到Modelsim的工作库同级目录下~!
发表于 2012-2-27 15:36:30 | 显示全部楼层
回复 5# love80238023


    赞成这种方法,但是好像initial 在modelsim里不可以吧,用`if,¥sdf_annotate(“(sdf的绝对路径)”,、top/uut(目标单元))`endif模块。不知道在这个工具里是否可以。
发表于 2012-2-27 15:37:52 | 显示全部楼层
标错了:`if  BEG
               $sdf_annotate(“(sdf的绝对路径)”,、top/uut(目标单元))
         `endif
发表于 2012-2-28 17:49:44 | 显示全部楼层
回复 1# icemanlv
实例化名后再加_u
    /top/uut改为uut_u
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-16 23:12 , Processed in 0.032937 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表