在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: lsqswl

[原创] cadence IUS9.2 ncverilog安装方法,环境设置,原创。

[复制链接]
发表于 2012-7-5 01:23:37 | 显示全部楼层

push
发表于 2012-7-10 12:24:45 | 显示全部楼层
太棒了,有這個安裝秘笈應該就無敵了吧!感謝大大
发表于 2012-7-18 01:10:22 | 显示全部楼层
调ncsim遇到问题:vMware7.0+Linux mint 12, ncvhdl + ncelab都正常,ncsim有问题。
ncsim: 09.20-p007: (c) Copyright 1995-2009 Cadence Design Systems, Inc.
ncsim: *F,NOLICN: Unable to checkout license for the simulation. Use ncsim -MESSAGES for more information. (flag - 1) 'lic_error -5'.
LZ能否告知如何处置。
发表于 2012-7-18 09:18:56 | 显示全部楼层
正好用到
发表于 2012-7-19 14:41:57 | 显示全部楼层
回复 1# lsqswl


   写的不错,很详细。
发表于 2012-7-27 20:57:54 | 显示全部楼层
回复 58# magmaqk


    LZ不是说,有可能是生成license的时候  hostname搞错了哇
发表于 2012-8-16 10:49:36 | 显示全部楼层
发表于 2012-8-16 10:55:13 | 显示全部楼层
学习了
发表于 2012-8-30 23:35:48 | 显示全部楼层
太好了,哥正要装这个软件,太感谢了!
发表于 2012-9-1 12:53:24 | 显示全部楼层
回复 1# lsqswl

很强很细致,虽然还没实验,但从文档的详细程度就得顶一下。
斑竹应该给这样的帖子多加分,比那些重复发附件,只知道赚钱的好多了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 01:35 , Processed in 0.032050 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表