在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: buley

[统计] 你是用VHDL还是用Verilog HDL?

[复制链接]
发表于 2015-11-26 22:22:16 | 显示全部楼层
目前都用
发表于 2015-11-27 08:30:40 | 显示全部楼层
VHDL转verilog了……
发表于 2015-11-27 19:38:39 | 显示全部楼层
verilog,目前国际上大多数都用verilog,俄罗斯用vhdl比较多。国内早期研究所用的vhdl也比较多。verilog比较灵活;
发表于 2015-11-30 09:53:39 | 显示全部楼层
个人还是比较喜欢verilog的语言特点,且容易上手!
发表于 2015-11-30 19:21:12 | 显示全部楼层
jump to the last page
发表于 2015-12-2 14:54:29 | 显示全部楼层
verilog
发表于 2015-12-10 23:28:11 | 显示全部楼层
最开始学的是vhdl,之后学verilog,感觉vhdl要求比较严一些
发表于 2015-12-14 16:21:02 | 显示全部楼层
听说VHDL先前主要流行于欧洲,Verilog主要在美国。美国现在是半导体行业的领头羊,它用的语言自然也会成为主流。
发表于 2015-12-14 21:08:29 | 显示全部楼层
Verilig HDL!
发表于 2015-12-17 14:11:49 | 显示全部楼层
最开始在学校学习FPGA开发的时候,用的是VHDL,上班之后,同事基本上全用verilog,所以,只好转到后者了,相比较而言,各有特点吧。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 04:04 , Processed in 0.030971 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表