在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 50989|回复: 177

[原创] 一个有符号的16位乘法器的verilog代码

[复制链接]
发表于 2011-5-13 16:22:18 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 Ames 于 2011-5-15 18:51 编辑

16bits_multiplier.rar (585.91 KB, 下载次数: 2532 ) 这是一个有符号的16位乘法器的设计,包含详细的设计报告和全部的verilog代码。乘法器采用booth编码,4-2压缩,超前进位结构。。。。。注:自己写的代码,非网上抄袭!
 楼主| 发表于 2011-5-13 16:27:46 | 显示全部楼层
没钱了,发帖子挣点钱。。。。
发表于 2011-5-16 13:02:49 | 显示全部楼层
看看吧
发表于 2011-5-16 23:54:16 | 显示全部楼层
hehehhe!!!
发表于 2011-5-17 02:15:40 | 显示全部楼层
谢谢楼主分享!
发表于 2011-5-17 08:55:00 | 显示全部楼层
支持原创啊
发表于 2011-5-17 10:45:30 | 显示全部楼层
支持原创啊
发表于 2011-5-21 02:32:34 | 显示全部楼层
支持支持~~~
发表于 2011-6-3 09:21:26 | 显示全部楼层
怎么下啊,求下载啊
发表于 2011-6-3 09:22:36 | 显示全部楼层
好啦,多谢多谢~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 17:14 , Processed in 0.040540 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表