在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: stevean

[资料] Verilog三段式状态机

[复制链接]
发表于 2012-12-24 18:10:14 | 显示全部楼层
谢谢楼主分享!!!
发表于 2012-12-24 18:10:50 | 显示全部楼层
谢谢楼主分享!
发表于 2012-12-24 21:32:50 | 显示全部楼层
学习了,谢谢
发表于 2012-12-24 21:43:33 | 显示全部楼层
分成3个always块写吧,不知道具体编译成电路会有何区别
发表于 2012-12-25 09:29:20 | 显示全部楼层
good share !!!
发表于 2012-12-25 12:03:14 | 显示全部楼层
谢谢分享哦
发表于 2013-1-7 17:58:07 | 显示全部楼层
下来看看。。。。
发表于 2013-3-15 13:36:55 | 显示全部楼层
谢谢分享
发表于 2013-3-15 15:06:34 | 显示全部楼层
为了钱拼命
发表于 2013-3-15 16:28:25 | 显示全部楼层
楼主,向您请教一个状态机的问题,我是用三段式方法写的状态机,每个状态我定义为parameter型(例如parameterREAD_DATA= 4‘h3),在Quartusii12.0环境中综合的时候,会报出警告,Warning (13012): Latch cfg_5338_if:cfg_5338_if_inst|nxt_state.READ_DATA_6656 has unsafe behavior
        Warning (13013): Ports D and ENA on the latch are fed by the same signal cfg_5338_if:cfg_5338_if_inst|clk_counter[8]
我上网搜说是出现锁存现象,我在case的时候也使用了default,应该不会出现锁存吧,那为什么还会这样呢?这样的警报能否有什么好的方法消除呢?如果我的状态很多,就会出现N多警告。希望楼主能给出好的方法帮助我消除这些警告
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 01:22 , Processed in 0.027658 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表