在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 140017|回复: 1354

[原创] 2010新书推介【Verilog HDL高级数字设计(第2版)】M D.Ciletti 著【英文清晰版】

[复制链接]
发表于 2011-1-3 22:22:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

                               
登录/注册后可看大图


基本信息

内容简介
verilog hdl高级数字设计(第2版)(英文版)》依据数字集成电路系统工程开发的要求与特点,利用Verilog HDL对数字系统进行建模、设计与验证,对asic/FPGA系统芯片工程设计开发的关键技术与流程进行了深入讲解,内容包括:集成电路芯片系统的建模、电路结构权衡、流水、多核微处理器、功能验证、时序分析、测试平台、故障模拟、可测性设计、逻辑综合、后综合验证等集成电路系统的前后端工程设计与实现中的关键技术及设计案例。书中以大量设计实例叙述了集成电路系统工程开发需遵循的原则、基本方法、实用技术、设计经验与技巧。
《Verilog HDL高级数字设计(第2版)(英文版)》既可作为电子与通信、电子科学与技术、自动控制、计算机等专业领域的高年级本科生和研究生的教材或参考资格,也可用于电子系统设计及数字集成电路设计工程师的专业技术培训。

编辑推荐
《Verilog HDL高级数字设计(第2版)(英文版)》特色
·重点讨论现代数字电路系统的设计方法
·阐述并推广基于Verilog 2001和2005,且可综合的RTL描述和算法建模的设计风格
·明确指出了可综合和不可综合循环的区别
·讲述了如何应用ASM和ASMD图进行行为级建模
·深入讨论基于Verilog 2001和2005的数字处理系统、RISC计算机和各种数据通道控制器、异步和同步fifo设计的算法和架构及综合的设计实例
·给出了150多个经过完全验证的实例,对时序分析、故障模拟、测试和可测性设计进行切合实际的讨论
·含有利用Vetilog 2001和2005编写的具备JTAG和BIST可测功能的实用设计案例
·每章后均设计了一些涉及面广且难度高的习题
·包含一套与《Verilog HDL高级数字设计(第2版)(英文版)》内容配套的可适合实验室实验验证的FPGA设计实例,如ALU、可编程电子锁、有FPFO的键盘扫描器、可纠错的串行通信接口、基于SRAM的控制器、异步和同步FIFO设计、存储器及RISC cpu
《Verilog HDL高级数字设计(第2版)(英文版)》支持网站内容包括:所有模型的源文件、仿真实例的测试平台源文件、幻灯片文件、某些工具软件的速
成教案及常见问题解答(FAQ)

大图JPG转PDF,150dpi,87.1M rar'd to 6parts,Enjoy!!

  
Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著].part1.rar (14.31 MB, 下载次数: 13063 )
Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著].part2.rar (14.31 MB, 下载次数: 11523 )
Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著].part3.rar (14.31 MB, 下载次数: 11043 )
Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著].part4.rar (14.31 MB, 下载次数: 12301 )
Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著].part5.rar (14.31 MB, 下载次数: 10725 )
Verilog HDL高级数字设计(第2版)(英文版)_[M D.Ciletti 著].part6.rar (11 MB, 下载次数: 9589 )
发表于 2011-1-3 23:10:00 | 显示全部楼层
It is good! Thanks for the sharing!
发表于 2011-1-4 00:58:36 | 显示全部楼层
火星人一出手都是好书。
发表于 2011-1-4 01:41:57 | 显示全部楼层
It is good! Thanks for the sharing!
发表于 2011-1-4 02:10:14 | 显示全部楼层

谢谢共享,辛苦了
发表于 2011-1-4 02:47:38 | 显示全部楼层

Thanks! Just what I needed!
发表于 2011-1-4 05:18:30 | 显示全部楼层
Thanks for sharing
发表于 2011-1-4 07:38:21 | 显示全部楼层
thank you
发表于 2011-1-4 08:12:29 | 显示全部楼层
thank you
发表于 2011-1-4 08:24:05 | 显示全部楼层
thanks a lot
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-28 16:38 , Processed in 0.036772 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表