在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3478|回复: 2

[转贴] something about Clock Gating[ZT]

[复制链接]
发表于 2010-7-21 03:18:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
[size=100%]Clock tree consume more than 50 % of dynamic power. The components of this power are:
[size=100%]1) Power consumed by combinatorial logic whose values are changing on each clock edge[size=100%]
2) Power consumed by flip-flops and
[size=100%]
3) The power consumed by the clock buffer tree in the design.

  [size=100%]It is good design idea to turn off the clock when it is not needed. Automatic clock gating is supported by modern EDA tools. They identify the circuits where clock gating can be inserted.

[size=100%]RTL clock gating works by identifying groups of flip-flops which share a common enable control signal. Traditional methodologies use this enable term to control the select on a multiplexer connected to the D port of the flip-flop or to control the clock enable pin on a flip-flop with clock enable capabilities. RTL clock gating uses this enable signal to control a clock gating circuit which is connected to the clock ports of all of the flip-flops with the common enable term. Therefore, if a bank of flip-flops which share a common enable term have RTL clock gating implemented, the flip-flops will consume zero dynamic power as long as this enable signal is false.
   [size=100%]There are two types of clock gating styles available. They are:
[size=100%]1) Latch-based clock gating
2) Latch-free clock gating.

  

[size=130%]Latch free clock gating
  [size=100%]The latch-free clock gating style uses a simple AND or OR gate (depending on the edge on which flip-flops are triggered). Here if enable signal goes inactive  in between the clock pulse or if it multiple times then gated clock output either can terminate prematurely or generate multiple clock pulses. This restriction makes the latch-free clock gating style inappropriate for our single-clock flip-flop based design.


                                                                           
[size=100%]Latch free clock gating

[size=130%]

[size=130%]Latch based clock gating
  [size=100%]The latch-based clock gating style adds a level-sensitive latch to the design to hold the enable signal from the active edge of the clock until the inactive edge of the clock. Since the latch captures the state of the enable signal and holds it until the complete clock pulse has been generated, the enable signal need only be stable around the rising edge of the clock, just as in the traditional ungated design style.


[size=100%]    Latch based clock gating

  


[size=100%]Specific clock gating cells are required in library to be utilized by the synthesis tools. Availability of clock gating cells and automatic insertion by the EDA tools makes it simpler method of low power technique. Advantage of this method is that clock gating does not require modifications to RTL description.


   [size=130%]References
[size=100%][1] Frank Emnett and Mark Biegel, “Power Reduction Through RTL Clock Gating”, SNUG, San Jose, 2000
  [size=100%][2] PrimeTime User Guide
发表于 2010-10-22 10:47:01 | 显示全部楼层
听听听听听听听听他
发表于 2010-10-22 13:00:16 | 显示全部楼层
不明所以,这种贴子
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 23:24 , Processed in 0.032835 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表