在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: kscc0202121

[求助] 急急急!!请教大虾怎么把这个Verilog 语言改写成VHDL语言?!程序的作用是什么啊?!

[复制链接]
发表于 2010-4-30 10:33:30 | 显示全部楼层
else
begin
        cnt <= cnt + 1'b1;
        
        if(cnt[11:0]==12'h9db)               
                begin
                        cnt <= 12'h0;
                        out <= 1'b0;
                end
        else if(cnt[11:0]==12'h9db)
                                        begin
                                                out <= 1'b1;
                                        end
你确定这两个数是对的?
发表于 2010-4-30 14:31:00 | 显示全部楼层
关键两种语言的赋值语句 太不相同了
 楼主| 发表于 2010-4-30 21:26:25 | 显示全部楼层
呵呵,真是高手啊!!下面的那个数是12’h456。请教该怎么改成VHDL语言! 10# wycawyc
 楼主| 发表于 2010-4-30 21:29:09 | 显示全部楼层
源程序再发一次:
module counter(clk,clr,out);
input clk;
input clr;
output out;
reg out;
reg [11:0]cnt;
always @(posedge clk or negedge clr)
begin
if(!clr)
begin
out<=1’b0;
cnt<=12’b0;
end
else begin
cnt<=cnt+1’b1;
if(cnt[11:0]==12’h9db)
begin
cnt<=12’h0;
out<=1’b0;
end
else if(cnt[11:0]==12’h456)
begin
out<=1’b1;
end
end
end
endmodule


10# wycawyc
 楼主| 发表于 2010-5-10 08:47:35 | 显示全部楼层
谢谢你的答复。但没想到我写错了程序,后面有位兄弟发现了,而且改的代码也对了。只能把分给他了。对不住啊! 1# kscc0202121
 楼主| 发表于 2010-5-10 08:50:07 | 显示全部楼层
不胜感谢!前段时间忙,差点忘了把分给你。呵呵…… 11# wycawyc
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 05:51 , Processed in 0.020189 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表