在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4622|回复: 14

[求助] 请教 VERILOG 并串转换程序问题

[复制链接]
发表于 2010-1-28 13:49:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
module bt(xin,clk,fdata,start);

input clk;
input[1:0] xin;
input start;
output fdata;

wire[1:0] xin;
reg fdata;

integer qx=0;

always @(start or clk)
begin
        if(!start)
        fdata<=0;
        else
        begin
         if(clk==1)
         begin
                fdata<=xin[qx];
                qx<=(qx+1)%2;
         end
        end
end

endmodule


出错结果 现象: 输出串行数据一部分为未知数据XXXX?请高手看看哪里出问题了
 楼主| 发表于 2010-1-30 23:06:40 | 显示全部楼层
没人回答。。。。。
发表于 2010-1-31 09:09:46 | 显示全部楼层
学习!!!
发表于 2010-2-1 21:10:37 | 显示全部楼层
无语了!!!!!
发表于 2010-2-1 22:38:37 | 显示全部楼层
多看看书,想一想吧
发表于 2010-2-1 23:03:21 | 显示全部楼层
你真把verilog当编程了。。。
发表于 2010-2-2 10:34:10 | 显示全部楼层
这是啥鸟程序,XIN[]也没初值,仿真看个樢
发表于 2010-2-2 14:08:57 | 显示全部楼层
有同步的clock信号,就不要用电平敏感的描述,应该用边沿敏感的方式,你中间的那一段应该改成这样:
  reg qx;

  always @(negedge start or posedge clk) begin
        if(!start)  begin
           fdata<=0;
           qx<=0;
        end
        else begin
          fdata<=xin[qx];
          qx<=qx+1;
        end
  end
发表于 2010-2-2 15:02:31 | 显示全部楼层
最好写成沿触发
发表于 2010-9-28 22:30:32 | 显示全部楼层
xuexizhong
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 20:45 , Processed in 0.040431 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表