在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3228|回复: 3

[求助] vcs编译UVM库的问题

[复制链接]
发表于 2017-9-13 15:01:06 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在编译环境中,makefile脚本如下:
all: clean comp run
clean:

-rm –rf DVEfiles inter.vpd simv* *.log
comp:

vcs –sverilog –debug_all –timescale=1ns/1ns –l comp.log \

${UVM_HOME}/src/dpi/uvm_dpi.cc –CFLAGS –DVCS \

-f filelist.f
run:

./simv –gui +UVM_NO_RELNOTES –l run.log
filelist.f内容如下:
+incdir+${UVM_HOME}/src
+incdir+${PWD}/src
${UVM_HOME}/src/uvm_pkg.sv
${DUT_SRC}
${TESTBENCH}

在编译过程中,可以看到uvm库中的文件确实被编译了,但在首次遇到自己写的文件时,eg:class my_transaction extends uvm_sequence_item,会报“uvm_sequence_item”语法错,感觉uvm的库虽然被编译了,但没有起到作用。不知道哪里错了?

但一下的脚本却可以正常进行:
all: clean comp run
clean:

-rm –rf DVEfiles inter.vpd simv* *.log
comp:

vcs –sverilog –debug_all –timescale=1ns/1ns –l comp.log \

-ntb_opts uvm-1.1\

-f filelist.f
run:

./simv –gui +UVM_NO_RELNOTES –l run.log
filelist.f内容如下:
+incdir+${PWD}/src
${DUT_SRC}
${TESTBENCH}
编译后的log,可以看到,直接采用的就是vcs安装目录下自带的uvm-1.1的库。


哪位大虾给看看,问题到底出在哪儿了
发表于 2017-9-15 12:55:11 | 显示全部楼层
你没include吧
 楼主| 发表于 2017-9-15 15:25:08 | 显示全部楼层
回复 2# qyxu1979
加入是因为没有include的原因,但为什么上面的那种方式报错,而下面的确实正确的
发表于 2017-9-23 16:13:42 | 显示全部楼层
vcs user guide -- Using SystemVerilog -- Using UVM with VCS -- Compiling the External UVM Library

RTFM!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 10:28 , Processed in 0.020318 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表